• Ei tuloksia

Advanced RF Self-Interference Canceller for Full-Duplex Radio : Prototype Implementation and Measurement

N/A
N/A
Info
Lataa
Protected

Academic year: 2022

Jaa "Advanced RF Self-Interference Canceller for Full-Duplex Radio : Prototype Implementation and Measurement"

Copied!
61
0
0

Kokoteksti

(1)

ADVANCED RF SELF-INTERFERENCE CANCELLER FOR FULL-DUPLEX RADIO

Prototype Implementation and Measurement

Master of Science Thesis Faculty of Information Technology and Communication Sciences Examiner: Prof. Mikko Valkama May 2021

(2)

ABSTRACT

Matias Turunen: Advanced RF Self-Interference Canceller for Full-Duplex Radio Master of Science Thesis

Tampere University Electrical Engineering May 2021

Current wireless communication systems use frequency-domain duplexing (FDD) or time- domain duplexing (TDD) to separate transmission and reception in frequency or time domain.

With these duplexing methods the interference from own transmission calledself-interference(SI) can be avoided with filtering in FDD or time separtion in TDD. In so-called in-band full-duplex (IBFD), transmission and reception are done simultaneously in the same freqeuncy. The issue is now that the SI cannot be avoided with a simple filter. If the SI could be suppressed to the receiver noise floor, then the IBFD operation would be possible. To solve this issue, an analog RF canceller is designed to cancel the SI. Cancelling all SI with RF-cancellation is not currently possible so the SI is first suppressed with a circulator isolation and then in the post-processing with a digital canceller. With combination of these three mehtods, it is shown that the SI can be supressed below receiver noise floor. The IBFD can in theory double the spectral efficiency.

Any changes in the surroudings of the RF-canceller and the antenna changes the SI-channel.

The RF-canceller is controlled with digital control system that is implemented on afield-programmable gate array (FPGA). This allows the RF-canceller to suppress the SI even if there are moving ob- jects in the surroundings.

Performance of designed and built RF-canceller is then verified with multiple measurements, testing the operation in different enviroments with different waveforms, bandwidths and transmis- sion powers. This thesis shows that it is possible to havein-band full-duplex operation and using analog RF canceller is an essential ingredient in such systems. The canceller can provide, in most scenarios over40 dB cancellation with bandwidths up to80 MHz. It is also shown that the can- celler can support transmission powers up to30 dBm. The RF-canceller was successfully utilized in the context oforthogonal frequency-division multiplexing(OFDM) radar. This joint operation of communication and radar is part of RF convergence. The IBFD has also military applications as it allows simultaneous jamming and reception.

Keywords: Full-duplex, Self-Interference, OFMD-Radar

The originality of this thesis has been checked using the Turnitin OriginalityCheck service.

(3)

TIIVISTELMÄ

Matias Turunen: A Descriptive Title Dilpomityö

Tampereen yliopisto Sähkötekniikka Toukokuu 2021

Langattomat tiedonsiirtojärjestelmät käyttävät nykyään joko aika- tai taajuustasossa tapahtu- vaa jakoa eli dupleksointia. Aikatason dupleksoinnissa lähetyksen ja vastaanoton välillä vuorotel- laan nopeasti ja taajuustason dupleksoinnissa lähetys voidaan suodattaa pois, koska se on eri taajuudella kuin vastaanotto. Full-duplex tarkoittaa sitä, että lähetykseen ja vastaan ottoon käy- tetään vain yhtä taajuuskanavaa ja ne tapahtuvat samaan aikaan. Ongelma syntyy oman lähe- tyksen aiheuttamasta häiriöstä, mitä kutsutaan itseishäiriöksi. Itseishäiriötä ei voida nyt suodattaa pois vaan se täytyy vaimentaa muilla tavoilla. Jotta Full-duplex toiminta olisi mahdollista, itseishäi- riö on vaimennettava vastaanottimen kohinalattian alle. Ratkaisuksi tähän ongelmaan tässä työs- sä suunnitellaan ja rakennetaan analoginen radiotaajuudella toimiva itseishäiriön kumoamislaite.

Kumoamislaite ei pysty itsessään kumoaan itseishäiriötä tarpeeksi, joten kumoamiseen käytetään myös sirkulaattorin aiheuttamaan vaimennusta ja digitaalista kumoamista. Yhdessä nämä kolme tekniikkaa voivat kumota itseishäriöän vastaanottimen kohinalattian alapuolelle.

Kumoajan ja antennin ympäristössä tapahtuvat muutokset muuttavat myös itseishäiriökana- vaa. Kumoajaa ohjataan sen takia digitaalisella säätölaitteella mikä on toteutettu käyttämällä FPGA- piiriä (engl. ’field-programmable gate array’). Ohjauspiirin avulla kumoaja onnistuu kumoamaan itseishäiriötä vaikka ympäristössä olisi liikkuvia esineitä.

Kumoajan toimintakykyä testattiin useilla erilaisilla mittauksilla eri aaltomuodoilla, kaistanle- veyksillä ja lähetystehoilla. Tässä työssä osoitetaan, että full-duplex toiminta on mahdollista ja analogisen radiotaajuudella toimivan kumoajan käyttö on olennainen edellytys. Kumoaja kyke- nee useimmissa tilanteissa kumoamaan itseishäiriötä yli 40 dB ja toimimaan kaistanleveyksillä 80 MHzasti. Kumoaja toimii myös useilla eritasoisilla lähetystehoilla30 dBmasti. Kumoajaa käy- tettiin myös toteuttaessa OFDM-tutkaa. Tällä tarkoitetaan tiedonsiirron ja tutkan yhdistämistä sa- maan laitteeseen. Full-duplexilla on myös sotilassovelluksia ja näistä yhtenä on samanaikainen häirintä ja vastaanotto.

Avainsanat: Full-duplex, Itseishäirö, OFDM-tutka

Tämän julkaisun alkuperäisyys on tarkastettu Turnitin OriginalityCheck -ohjelmalla.

(4)

CONTENTS

1. Introduction . . . 1

2. Theory. . . 3

2.1 Background and Self-interference Phenomenon . . . 3

2.2 Different Isolation and Cancellation Solutions. . . 7

2.2.1 Analog Cancellation . . . 9

2.2.2 Digital Cancellation . . . 9

2.3 Considered RF Canceller Structure . . . 10

2.4 OFDM Radar Specific Aspects . . . 11

3. Design and Implementation . . . 13

3.1 Design Overview . . . 13

3.2 Chosen Components . . . 14

3.2.1 Integrated Circuits. . . 14

3.2.2 RF Components . . . 15

3.3 Build PCB . . . 17

3.4 Antenna Design . . . 20

3.5 Canceller Parameters . . . 28

3.6 Feedback Control Loop . . . 36

4. Measurements and Results . . . 38

4.1 Measurement Setup . . . 38

4.2 Cancellation Measurements . . . 40

4.3 OFDM Radar Measurements . . . 41

4.4 Comparison . . . 45

5. Conclusions . . . 48

5.1 Future Work . . . 48

References . . . 50

(5)

LIST OF FIGURES

2.1 TDD, FDD and In-band full-duplex . . . 4

2.2 Free Space Path Loss vs Distance on different frequencies . . . 5

2.3 Direct conversion receiver . . . 5

2.5 Shared antenna with circulator . . . 10

2.6 Full-duplex transceiver block diagram . . . 11

2.7 RF canceller block diagram . . . 12

3.1 High level block diagram of the RF canceller . . . 14

3.2 MAX2023 Downconverter . . . 15

3.3 Thickness and used materials of the build PCB . . . 16

3.4 Designed co-planar waveguide transmission lines for the RF canceller. Ground plane is in the bottom layer and it is not visible in the figure. Black dots are vias between the top and bottom layer grounds. . . 18

3.5 Top layer of the manufactured PCB . . . 19

3.6 Missing ground connections on the bottom layer . . . 19

3.7 Applying soldering paste through stencil . . . 20

3.8 Reflow soldering of the BB filters . . . 20

3.9 Measured antenna isolation with circulator MESL104 with seven different cisco antennas . . . 21

3.10 Antenna isolation in the demonstration setup when antenna is connected to the port 2 of the circulator MESL104 . . . 22

3.11 Selection of available circulators . . . 22

3.12 Used circulator and antenna for Intel demonstration system . . . 23

3.13 Different blocks of the designed dipole antenna . . . 23

3.14 Circulator port numbering and dipole antenna position aligned with circula- tor on the left and crossed position on the reight . . . 24

3.15 Designed dipole antenna isolation with circulator JQL S6. . . 25

3.16 Measured radiation pattern of the designed dipole antenna . . . 26

3.17 Measured time domain impulse response of the circulator and dipole . . . 27

3.18 Port mapping of the RF canceller . . . 28

3.19 Tap 1 and 3 original return loss vs matched return loss . . . 29

3.20 . . . 29

3.21 Simulated VM matching circuit on the left and a realization of it on the right 29 3.22 Vector modulator original vs matched output return loss . . . 30 3.23 Measured VM attenuation in color map and the ideal control circle in black. 31

(6)

3.24 MAX2023 measured and fitted output voltage with20 MHzLTE-test signal.

PAPR approximately10 dB. . . 32

3.25 Measured coupler board input matching . . . 32

3.26 Measured coupler board insertion losses . . . 33

3.27 Coupler board with switchable configuration . . . 33

3.28 4-way splitter insertion losses . . . 34

3.29 Measured canceller insertion loss through tap 2 (internal delay) with mini- mum attenuation . . . 34

3.30 Measured time domain impulse response of the canceller with each tap at minimum attenuation at a time . . . 35

3.31 Self interference channel vs Tap placement . . . 36

4.1 Measurement setup . . . 39

4.2 Total Cancellation vs transmission power . . . 41

4.3 Spectrum of the residual SI signal after different cancellation stages . . . . 42

4.4 Antenna and the webcam pointing the road from window in Tietotalo. . . . 43

4.5 Snapshot of Hervanna valtaväylä in the moment of radar measurement . . 43

4.6 Radar image of Hervanna valtaväylä at a moment shown in figure 4.5. . . . 44

4.7 Cancellation performance of the radar setup in open space. . . 44

4.8 Measuring a drone with OFDM radar. . . 45

4.9 Radar image when drone is static in front of the radar . . . 46

4.10 Radar image plotted with zero velocity only. Open space on the left and the drone is present on the right as can be seen on the peak at 40m. . . 46

(7)

LIST OF TABLES

3.1 Measured cable delays. Names refer to the port mapping shown in figure 3.18 . . . 35 3.2 Measured internal delays without cables. Names refer to the port mapping

shown in figure 3.18 . . . 35 4.1 Comparing cancellation performance between different solutions in the lit-

erature. . . 47

(8)

LIST OF SYMBOLS AND ABBREVIATIONS

5G fifth generation

ADC analog-to-digital converter balun Balanced to unbalanced BS basestation

ENOB effective number of bits FD full-duplex

FDD frequency-domain duplexing FPGA field-programmable gate array FSPL free-space path loss

HD half-duplex

IBFD in-band full-duplex

IFFT inverse fast fourier transform LNA low noise amplifier

LO local oscillator LSB least significant bit

OFDM orthogonal frequency-division multiplexing RF radio frequency

RX receiver

SI self-interference

SINAD signal-to-noise-and-distortion ratio SINR signal-to-interference-to-noise-ratio TAU Tampere University

TDD time-domain duplexing TX transmitter

VNA vector network analyzer VST vector signal transceiver

(9)

1. INTRODUCTION

Over the past few decades, wireless data consumption has increased massively. Most people carry a mobile phone with them and stream videos and music on daily basis.

Locally saved data is replaced by cloud services. Many users have replaced traditional television broadcasts with streaming services such as Netflix or Youtube. In some sce- narios people are streaming a Youtube video just to listen the music. In general, home connections are wired, but many home internet connections are nowadays wireless.

This increase in mobile data usage requires new ways increase the capacity. The fifth generation (5G) wireless communications utilizes higher carrier frequencies and band- widths to achieve extremely high data rates. This requires more frequencies to be used and as radio spectrum is a limited and costly resource, increasing spectrum efficiency is an important goal. Traditionally transmission and reception works intime-domain duplex- ing(TDD) orfrequency-domain duplexing(FDD), meaningtransmitter (TX) andreceiver (RX) are separeted in time or frequency domain, respectively. By using so calledin-band full-duplex (IBFD) TX and RX can work on the same frequency at the same time. This change should double the spectral efficiency, in theory. full-duplex (FD) is not complitely new idea, as FD has been used in wired ethernet connections. The main difference is that in wired connections the transmission reception are used in different cables, but in wireless communications both TX and RX use the air as a common medium.

As the transmission and reception are occuring at the same frequency at the same time in a same medium it is apparent that same part of the transmission is observed at the receiver as a interference. This is the main problem with IBFD and the observed inter- ference from transmitter is calledself-interference (SI). For FD transceiver to operate as well as a TDD or FDD transreceiver, over 100 dB SI cancellation can be required [1].

Attenuating the SI to a tenth of billionth of its original power is a difficult task.

The main contribution of this work is analog RF canceller implementation, construction, testing and measurements. The RF canceller was depeloped in collaboration with Intel Labs and two devices were built, one for Tampere University and one for Intel. The analog RF canceller can be described as an adaptive filter that tries to estimate the effect of the self-interference channel to the transmission and then substracting that estimation form the receiver and removing the SI. To make this estimation faster and easier, a digital con-

(10)

trol system was designed parrallel with the canceller to provide adaptivity for the canceller and it is discussed in more detail in work [2].

Over the years, the canceller prototype has been featured in multiple conference pa- pepers, few journals and one magazine article. Publications [3], [4] and [5] are about the canceller prototype and the digital cancellation algorithms presented in [6]. Publication [7] is about realtime two-way full-duplex system and the canceller prototype is a part of that system. It is shown that the canceller can work with a realtime digital canceller, while simultaneously receiveing a communication signal. Other publications are applications for full duplex systems. In publication [8] it is shown that a communication system with full-duplex functionality can be used as a radar. This would enable transceivers to sense the enviroment around them. Full-duplex radios have multiple military applications and some of those have been investigated in publications [9], [10], [11], [12], [13], [14] and [15]. Currently, research about military full-duplex radios is still continuing.

The thesis is organized as follows. The second chapter covers the theory behind self- interference, analog radio frequency (RF) cancellation and IBFD operation. The third chapter explains the implementation and construction of the canceller. The fourth chap- ter focuses on the canceller tuning and measurement results. The final chapter is the conclusion, giving ideas about future work, possible applications and improvements.

(11)

2. THEORY

In this chapter, the background of the FD transceivers is discussed. The causes of SI are analyzed and different available techniques to suppress and cancel the SI are shown. The SI can be suppressed multiple different techinques and domains, but the focus in this work is on analog RF cancellation and things such as antenna isolation and digital cancellation are only discussed briefly. Basics oforthogonal frequency-division multiplexing (OFDM) radar principles are discussed briefly, as it is one application for full-duplex and related results are presented in chapter 4.

2.1 Background and Self-interference Phenomenon

A wireless communication system consists of atransmitter (TX), areceiver (RX) or any number of them larger than one. Between TX and RX there is a medium, called a channel.

For the communication to be wireless, the channel cannot be a wire. If the communication system is one-directional, it is called simplex. A typical example of this is a television broadcast where the video is transmitted to multiple users, but users are not transmitting anything back to the broadcaster. If a device is capable of implementing both TX and RX functionalities, it is called a transceiver. If both communication devices are transceivers then the system is bi-directional and it is called duplex. Simple example of a duplex system is a phone call. Both users can speak or listen to the other person.

A problem in wireless communications is that users share same channel, the air, atleast if they are within close range of each other. Solutions to this problem is called duplexing and currently used methods in 5G, 4G and older generations are time-domain duplex- ing (TDD) and frequency-domain duplexing (FDD). In TDD TX and RX are at the same frequency band, but they are not happening at the same time. On the other hand, in frequency-domain duplexing (FDD), TX and RX are happening at the same time, but at different frequency bands. If the TX and RX are at the same frequency at the same time, this is then calledin-band full-duplex (IBFD). Visualization of TDD, FDD and IBFD is presented in 2.1.

There is a physical limit on information that can be transferred through the air with radio waves. This means, that even if the efficiency of the spetrum utilization is increased, there is a hard limit. Maximum amount of information that can be transmitted through a channel

(12)

TX RX TX RX TDD

TX RX

FDD

TX RX and RX In-band full duplex

frequency

Time

Fig. 2.1. TDD, FDD and In-band full-duplex

is called capacity. Capacity is derived as:

CHD=Wlog2(1 +SINR) (2.1)

CFD= 2Wlog2(1 +SINR) (2.2)

Were CHD is the capacity of a HD system,CFDis the capacity of a FD system,W is the channel bandwidth and SINR issignal-to-interference-to-noise-ratio. This means, that to increase the capacity, bandwidth or SINR have to be increased. SINR can be improved by increasing the TX power or by lowering channel losses, noise or interference. Problem with increasing the bandwidth is that the frequency spectrum is very limited resource.

It raises a question, why is full-duplex not the default duplexing method, as it in theory, doubles the capacity. The problem is that now the TX can be seen as interference in the RX. In FDD the transmission can be removed with a filter and in TDD, the receiver is turned off when the TX is on. In IBFD, the interference in equation 2.2 is increased so much that the communication is not possible without SI suppression. Solving this problem is not a trivial task.

free-space path loss (FSPL) in a wireless radio system can be derived with following equation:

Pr

Pt =DtDr( λ

4πd) (2.3)

(13)

0 5 10 15 20 25 30 35 40 45 50 Distance (m)

10 20 30 40 50 60 70 80 90 100

Free Space Path loss (dB)

FM radio (100MHz) GSM (900MHz) WLAN (2.44 GHz) 5G mmWave (26 GHz)

Fig. 2.2.Free Space Path Loss vs Distance on different frequencies

LO 90°

ADC

ADC

AGC

AGC

Fig. 2.3.Direct conversion receiver

Where Dt and DR are the directivities of transmitting and receiving antennas, λ is the signal wavelength and d is the distance between the antennas. FSPL in different fre- quencies and distances is illustrated in 2.2. It is clear that there is a huge cap between the transmission power level and the received power level. This means that transmission power is few orders of magnitudes higher than the received power.

Block diagram of a direct conversion receiver is shown in 2.3. Right after the antenna and bandpass filter there is typically a low noise amplifier (LNA). Generally, the transmitted signals are low in power and if not, it is usually good idea to lower the power to reduce the power consumption in transmitter side. The LNA improves the receiver noise performance by amplifying low power signals, while adding only low amount of noise to the signal. If

(14)

the received power is too high, then LNA will be saturated and the received waveform is heavily distorted. ADC saturation is one of the reasons why SI suppression before the receiver is important. Another reason is the receiver analog-to-digital converter (ADC).

As a digital device, ADC has limited amount of bits in use. Finite number of bits in the ADC means limited dynamic range. If a very strong and a weak signal is present, then the low power signal is lost in the quantization noise, if the ADC does not have enough bits.

The dynamic range can be defined as the ratio between the largest and smallest value that ADC can measure reliably. The smallest value in ADC isleast significant bit (LSB).

The dynamic range can be calculated from LSB and number of bits with equation 2.4.

DR = 20log10((2N −1)LSB

LSB )≈6.02∗NdB (2.4)

The ADC dynamic range is a major bottleneck and what makes this worse is that the ADC is not an ideal device. This means that not all of the available bits are usefull as the devices have nonidealities such as noise and distortion. Instead of using number of bits in ADC for calculations, one should useeffective number of bits (ENOB). ENOB can be calculated fromsignal-to-noise-and-distortion ratio(SINAD).

EN OB = LSB−1.76

6.02 (2.5)

In the measurements presented in 4, used receiver is National Instruments PXIe-5645r.

It has 14-bit ADC and typical SINAD of 69 [16]. With equation 2.5 this translates to ENOB of11.2 dB. Using 2.4 gives us dynamic range of67.2 dB.

Lets calculate required analog RF cancellation for the laboratory equipment used in the scenario [5]. The transmission power is 30 dBm and lets assume that the antenna iso- lation is 25 dB. The PXIe-5645r has noise floor at −88 dBm so the power before ADC should be maximum of −20.8 dBm. This means that the analog RF cancellation should be atleast25.8 dB. This calculation is heavily simplified and does not take everything into account, but it gives some estimation about the requirements.

Self-interference

Any interference coming from the device itself can be calledself-interference(SI). Normal source for SI is frequency shifting with mixers. The actual signal and a sinusoidal wave from is mixed together to shift the frequency. In the process, the LO will leak to receiver or transmitter. Incase of direct-conversion transceivers 2.3 the LO is in the DC and can be filtered with a DC-block. Other example is in FDD systems the transmitter carriers on different frequencies can intermodulate in metal surface and create passive intermod-

(15)

ulation that can be seen in the receiver as SI. This interference cannot be filtered out, but usually the power level of this interference is rather low as few tens of decibels [17].

The problem can also be avoided with choosing the TX and RX frequencies accordingly.

In IBFD power of the SI is very high compared to the receiver sensivity. The difference can be easily over 100 dB [1]. For example, in LTE, user equipment (UE) has transmit power of 23 dBm, and a sensitivity requirement of -90 dBm. With these example system parameters, the SI should be cancelled in total by 113 dB to attenuate it to the level of the receiver noise floor.

The advantage of SI over typical interference in IBFD is that the interference is a known signal, not random noise. This means, that with good estimation of the communication channel, the SI could be substracted from the received signal.

2.2 Different Isolation and Cancellation Solutions

The SI challenge can be solved in three different domains. Typical solutions uses comp- ination of two or more techniques to solve the SI challenge [18]. The different domains are: antenna interface, analog RF cancellation before receiver LNA and digital cancella- tion after the ADC.

The isolation methods can be divided into two approaches, shared antenna and separate antennas between transmitter and receiver. These isolation methods are not unique to IBFD and are commonly used in RF field. In FDD systems transmitter and receiver should be isolated from each other. The problem is easier as filters can be used to remove the SI. Beampatterns and antenna placement is still crucial for achieving good isolation.

Shared antenna is often based on circulator or electric balancer. Circulator has lower isolation typically in ranage of 20-30 dB and the electric balancer can provide over 40 dB isolation [19]. EB weakness is that in requires minimum 3 dB insertion loss from splitting up the signal between the antenna port and balancing network. Circulator has only insersion losses from conductors.

Antenna interface is the first stage in SI cancellation and it is present in all wireless FD systems. Antenna solutions can be divided into shared antenna and separte antenna configurations. In separate antennas the commonly used isolation methods are separa- tion and phase control. Separation can be physical distance between the antennas and shown in 2.2, distance increases the attenuation. Other separation method is directive antennas or having a transmitter beam pattern null at the receiver antenna. Phase con- trol means that the SI from different sources combine in such way that the sum is as low as possible. Simple example would be two transmitter antennas that combine to single receiver antenna with180degree phase differece. Dual antenna can achieve over 65 dB isolation with resonator elements [20].

(16)

The weakness is using multiple antennas, as in case of mobile device the space is very limited. Circulator with shared antenna obviously requires less space for antennas, but the circulator size can be problematic. Electrical balancers can provide great isolation, but the difference to other solutions is the minimum 3 dB insertion loss.

Circulator

Circulator is a nonreciprocal three port device that is commonly used as a isolator or du- plexer [21, p. 318]. The nonreciprocality is accommodated using ferrite materials. Bulding nonmagnetic circulators is possible but less common than magnetic circulators [22].

Ideal circulator operation princible is that all energy from port one exits from port two, energy from port two to port three and last from port three to port one, hence the name circulator. As a nonreciprocal device the power does not flow in reverse direction.

Circulator can be described with so called scattering parameters S-parameters. Parame- terSij tells how much power from portigoes to portj.

[︂

Sij ]︂

=

⎢⎢

⎢⎣

β α β β β α α β α

⎥⎥

⎥⎦=

⎢⎢

⎢⎣

0 1 0 0 0 1 1 0 1

⎥⎥

⎥⎦ (2.6)

In ideal circulator parameter β would be zero andα one. For real circulator,a s a rule of thumb, the isolation cannot be better than the return loss in the middle port. Typical isolation for a circulator is around 20-30 dB.

LR=−20log10(Γ) (2.7)

Return Loss=−20log10(V SW R−1

V SW R+ 1)dB (2.8)

V SW R = 1 + Γ

1−Γ (2.9)

Reflection coeffiecient Γdefines how much of the signal is reflected back from the port.

Reflection coeffiecient value 1 means that all of the power is reflected back and value 0 means nothing is reflected back. Return loss, 2.7, defines the attenuation of the reflected signal compared to the power going thru. Voltage standing wave ratio is the ratio between the original signal and standing wave caused by the reflected signal. Strong standing wave is problematic as it can created frequency selectivity in the system. So having good impedance matching between all the interfaces is important. Return loss, VSWR and

(17)

(a)

(b)

reflection coefficient are all basically the same thing but with a different form. In jargon they are often called as matching.

When a circulator is used for shared antenna configuration. A good impedance matching between the circulator and antenna is required for high isolation. How this is achieved is presented section 3.

2.2.1 Analog Cancellation

Different analog RF cancellation methods have common theme of substracting the SI from the received signal. How this SI estimate is formed is there difference between them. In dual trasmitter, the SI estimate is genereted in the digital baseband. In other cases the transmitted signal is splitted to a canceller and it is filtered with different methods. First method is design from stanford and it is presented in [23]. The idea is to use taps with fixed delays and variable attenuator. Number of taps is fixed to 8 or 16 in the design. Other approach from MIT is presented in [24] and the block diagram is presented in 2.4a. Design is similar to the stanford design, but now each tap has a phase shifter. Number of taps in this design is four. Design used in this work has three taps and instead of using variable attenuator and phase shifter in each tap, a vector modulator is used instead. Vector modulator consist of two signals that have a 90 degree phase shift between them and attenuation can be changed for both signal paths. Block diagram of a vector modulator is presented in 2.4b.

2.2.2 Digital Cancellation

After the SI has been suppressed first by the circulator isolation, and second by the ana- log RF canceller, the power level of the residual SI can still be strong. Thus, additional SI cancellation is required for FD-transceiver to have any advantage over HD transceiver.

Ideally the power of the SI is decreased below the receiver noise-floor. The same method

(18)

TX RX Leakage

Reflection Nearby

object Reflection

Fig. 2.5.Shared antenna with circulator

that was used in analog RF cancellation can be used in digital domain. The known trans- mission signal can be modified accordingly and substracted from the received signal. The difference between the analog and digital cancellation is that in the analog domain the SI- channel is only the circulator and the multipath components from the antenna reflections.

For the digital cancellation, the SI-channel includes the transmitter, receiver and also the RF canceller in addition to the circulator and the multipath components. Assuming such channel as a linear channel would require the transmitter and receiver to be linear, which would a good assumption for well-calibrated laboratory equipment, but not for general user equipment. Thus nonlinear cancellation is required for the best result. Simple way to model a nonlinearity is to use Hammerstein model [PHModel]. The model is formed by a non-linearity that is followed by a linear filter. If multiple hammerstein models are connected in parallel the model is then called parallel Hammerstein.

2.3 Considered RF Canceller Structure

The analog RF canceller presented in this chapter is a third revision of the design, which is based on a paper by Yang-Seok Choi and Hooman Shirani-Mehr titled “Simultane- ous transmission and reception: Algorithm, design and system level performance” [25]..

The previous two revisions of this canceller architecture are presented in a master’s the- sis by Timo Huusari titled “Analog RF Cancellation of Self Interference in Full-Duplex Transceivers”. New additions from previous revisions are third tap and digital weight cal- culations on an external FPGA for vector modulators. The FPGA control is presented in the work [4].

High level block diagram of the cancellation is presented in figure 2.6. A shared antenna with a circulator is used instead of presented dual antenna setup. Part of the transmission signal is taken after the PA for cancellation and the analog RF cancellation is done before

(19)

Fig. 2.6.Full-duplex transceiver block diagram

the receiver. After the receiver the SI is further suppressed with a digital canceller. The main contribution of this work is the analog RF canceller and its operational block diagram is presented in figure 2.7. Idea is to use vector modulators to change the amplitude and phase response in each of the three taps and also have different delays on each tap. The taps signals then combined with the received signal and the SI is cancelled. The taps signals before vector modulators and the received signal is downconverted to baseband for calculating the weight for the vector modulator I and Q attenuations.

2.4 OFDM Radar Specific Aspects

One application for full-duplex is in joint operation of communications and radar systems in orthogonal frequency-division multiplexing (OFDM)-based radars. Having both radio communications and sensing could be usefull in autonomous cars. As self-interference is reduced by the analog and digital cancellation it is now possible to receive low power reflections of the transmitted signal and do a radar prosessing with this data. More de- tailed explanations about OFDM rarar are in paper [8]. The difference between OFDM radar and normal FD device is that, for radar, part of the self interference signal is now the signal of interest that should not be removed in cancellation.

The full duplex device has to be configured in such way, that only the unwanted reflec- tions are removed and radar objeccts are intact. Radar image can be divided into a two dimensions, distance and velocity. The self interference should always be centered at zero velocity, but spread in distance. This means that moving object should be easier to

(20)

τ

C,n

n

th

Tap Downconverter

Weight Calculation V ector Mo dulator Q

I

+ τ

C,n

n

th

Tap Downconverter

Weight Calculation V ector Mo dulator Q

I

+

TX to circulator F rom P A

F rom circulator RX

Splitter

τ

C,n

n

th

Tap Downconverter

Weight Calculation V ector Mo dulator

P

Feedback Downconverter

LNA Q

I

+

Fig. 2.7. RF canceller block diagram

detect as the self-interference is not masking the refections. For moving objects, the RF canceller is only improving the receiver sensitivity. In case of static targets, the situation is more complicated. Now the self interference requires more suppression and it can be difficult to figure out what is self interference and what is a target.

Operation range can be defined for OFDM radar. The Full duplex canceller supresses SI at ranges less than 5 m. This range can change depending on the configuration. Hard upper limit is determined by the cyclic prefix as the alias free region. Often the used transmission power and receiver noise floor limits the range more than the cyclic prefix.

(21)

3. DESIGN AND IMPLEMENTATION

In this chapter a process from proposed RF canceller structure to operating RF-canceller device is described. The process includes the initial PCB design, manufactoring of the PCB, placing the components and testing build canceller.

3.1 Design Overview

This canceller design and the previous revisions of the canceller [26] are based on ar- chitechture presented in a paper titled Simultaneous transmission and reception: Algo- rithm, design and system level performance” by Yang-Seok Choi and Shirani Mehr [25].

The basic idea behind the SI-cancellation is that the transmission signal is knonw. Be- cause of that, if we can model the SI-channel, then the SI itself is known and can be substrated from the received signal. This same process can be done in both analog RF domain and in digital post-processing in the baseband after ADC. A copy of the transmis- sion signal is taken after PA with a coupler. Then a splitter is used to make multiple copies of that signal. Now the SI can be estimated by delaying these, incase of this design, three copies and then adjusting the amplitudes and phases of each delayed copies invididually.

After this, the copies can be combined and the SI estimate can be substracted from the received signal.

A component level block diagram of the RF canceller is presented in 3.1. Delay ca- bles,output coupler, circulator and antenna are external components and can be switched if nescessary. This adds lot of flexibility to the canceller and as the idea is to have a proof- of-concept type of device, then it can be a good idea to not fix too many design choices.

Only one of the tap delays is fixed length on the PCB and others are tuned with external coaxial cables. This allows the canceller to adapt for multiple different SI channels with simple switching of tap delay cable. On an after thought, having all the taps delays ex- ternal would be an advantage. If the SI channel requires to change the fixed delay tap, at the same all the other tap delays will change and this results in a lot of extra work.

Possibility of switching the input and output couplers is an advantage as it is easier to apadt to different transmission powers and antenna isolations.

As mentioned in the section 2, if the self-interference is removed completely, then the

(22)

Fig. 3.1.High level block diagram of the RF canceller

spectral effieciency is in theory doubled. In practice this is not generally possible with FD- tranceivers that are similar to this design. Looking at the figure of a full duplex transceiver 2.6. Received signal is combined with the SI estimation signal and this combining adds extra insertion losses to the receiver path. If we use same receiver, then even if we remove SI completely this extra insertion loss reduces the SINR compared to half-duplex operation.

3.2 Chosen Components

The built canceller has a total of 776 components. As the number of components is very high, selection criteria for only the most important ICs and RF-components is discussed here. All the components are off-the-self and no custom made components or ICs were used, apart from the used self made dipole antenna.

3.2.1 Integrated Circuits

The key component of the canceler is the vector modulator HMC631LP3E [27]. It has high continuous 40 dB attenuation range and full 360 degree variable phaseshift. Avail- able3 dBmodulation bandwidth is200 MHzand that is more than enough to cover ISM- band from 2.4 GHz to 2.48 GHz. The component has low noise floor of −160 dBm/Hz. Eventhough the noise is low, when the RF cancellation is high, then the noise is not in- significant. The shortcomings of the vector modulator are high insertion loss of−11 dB,

(23)

Fig. 3.2.MAX2023 Downconverter

relatively low35 dBminput IP3 and21 dBminput P1dB. The OFDM waveforms used in the measurements usually have over 8 dB Peak-to-average-power-ratio (PAPR). The same component was succesfully used in the previous versions of this canceller, so choosing it again was a clear choice. If low insertion loss is required then using a vector multi- plier such as ADL5390 [28].Instead of just attenuating the signal, ADL5390 has built-in amplifier and can control the amplitude from−30 dBto5 dB.

Another component used in the previous design was Maxim Integrated MAX2023 down- converter [29] seen in the figure 3.2. It is used to frequency shift the tap signals and feedback from RF to BB for the adaptive digital control. The downconversion is important as it is better to process the signals in BB than RF as the sampling frequency for ADC can be much lower. MAX2023 is used for direct downconversion meaning that the BB signal is centered around DC and the output is separated to I and Q components. This again saves required bandwidth from the ADC, but some part of the signal is filtered out as the LO is leaking to DC and it is filtered width narrowband high-pass filter. The MAX2023 has very good linearity for a downconverter, IP1dB at30 dBmand OIP3 at38 dBmrelatively low noise figure of 9.6 dB. High compression points quaratees that the downconverter does not saturate even if the RF cancellation is not working. The drawback of the down- converter is high conversion loss of9.5 dB.

3.2.2 RF Components

As the RF canceller has three taps, the input signal to the canceller should be splitted into three signals. The antenna isolation and insertion losses from the RF canceller should be

(24)

RO4350b 0.508 mm

RO4350b 0.508 mm

4xRO4450 0.100 mm

1.556 mm

Fig. 3.3.Thickness and used materials of the build PCB

close to each other so that amplitude of the SI-estimation can be matched with the SI. For this reason a 4-way input splitter is used in the RF canceller input. Now the PA output can be splitted into tx signal and three tap signals and this reduces required antenna isolation as both the SI path and RF canceller path has the same insertion losses from the splitter.

Incase of a coupler the insersion losses would be different. Chosen splitter was BP4U+

from minicircuits [30]. It has low insertion loss of 0.7 dB and as a splitter it can handle power up to 1.5 W. Total insertion loss for the splitter is 6.7 dB and that includes the losses from splitting. There are some differences in insertion losses between the ports but this actually not a downside. Choosing the lowest or highest insertion loss for the antenna path can decrease or increase difference between effective antenna isolation and RF canceller insertion lossess. The three taps are combined with a 3-way combiner SCN-3-28+ from minicircuits.

Couplers are used to divide tap signals and feedback from RX signal for the digital control.

Component choice is not critical but it is good to minimize insertion losses. Coupler choice is10 dBcoupler from Anaren (model 1P610). In coupler board there is option to also use a5 dBcoupler (model X3C25P1-05S) instead of10 dBdoupler to combine the RX signal and SI estimate.

The original canceller design had some flaws and to improve it, few new external com- ponents were added and some old ones replaced. Specific reasons the changes are explained later in this chapter. A LNA was added to the feedback chain to provide gain.

Miniciruits ZX60-242GLN-S+ [31] was chosen because of the high 30 dB gain and low noise figure of0.8 dB. For a LNA, it has excellent linearity perfomance with output P1dB of18 dBmand output IP3 of37 dBm. Maximum allowed input power is17 dBmand that is excellent for the canceller feedback, as even when the cancellation is not working, the LNA is safe and not fully saturated.

(25)

An external6 dB coupler (Narda 4013C-6) was added to the input of the canceller. This way the transmission signal can be separated before the input splitter and this allows the use of higher transmission powers without distorting the vector modulators.The problem is that now the antenna isolation has extra losses so the insertion losses of the RF canceller are too high in comparison. To solve the issue, coupler board was replaced with combiner minicircuits ZX10-2-183-S+ and 10 dB coupler Narda 4226. These components were chosen because they were avaible in the research lab and had suitable properties.

3.3 Build PCB

The canceller design is manufactured on 4 layer PCB and the RF design is on the top layer shown in 3.5 and bottom layer 3.6. Layers in the PCB have been made of different material as shown in 3.3. Idea of using different materials in different layers is to provide good RF performance for top and bottom layers. Material R04350b has dielectric constant of 3.66 and has low losses with loss tangent of0.0031 The problem with the material is that it is not rigid. For this reason the middle layers are made with rigid material and the losses are not as important as the middle layers are for DC power and control voltages.

The transmission lines are designed to have 50Ωcharacteristic impedance at 2.45 GHz and the are co-planar waveguides. Co-planar waveguide is a normal microstrip line that has ground very close to it on both sides. The ground reduces coupling between the transmission lines and coupling from over-the-air. Co-planar waveguide requires more space on the PCB, but it has advantage over microstrip in conductor width. With mi- crostrip line the impedance is mostly determined by the dielectric permittivity, dielectric thickness and conductor width. With co-planar waveguide the distance between grounds and conductor also changes the characteristic impedance. Now the conductor width can be similar to a component pad size and the impedance can be tuned to50Ωby changes the between the grounds and the conductor. Designed co-planar waveguide can be seen in figure3.4.

After the manufacturing it was found that there was some error in the file export from Al- tium Designer. The Mid2 and Bottom layers do not have ground fills in the exported file so the manufactured PCBs is missing the grounds. As the PCBs were quite expensive and manufacturing process took long time. A decisition was made to use this PCB with missing ground and add the missing ground connections with a jumpers. A ground con- nection is missing from the low-pass filter network. The missing ground connections are marked in 3.6. This reduces the shielding of the baseband and power lines but fortunately the sensitive RF layers have a ground filling. Also Another drawback is that without the bottom copper the heat dissipation of the MAX2023’s and Hittite’s vector modulators is greatly reduced. Small external heat sinks were added to the bottom of the ICs.

(26)

0.75 mm

0.3 mm

Fig. 3.4. Designed co-planar waveguide transmission lines for the RF canceller. Ground plane is in the bottom layer and it is not visible in the figure. Black dots are vias between the top and bottom layer grounds.

Construction

Two indentical RF cancellers were built. One for research purposes and another for Intel to be used as a demonstration device. The PCB boards were built using a re-flow oven.

Re-flow has many advatages over soldering with soldering iron. It is faster when there are several hundreds of components to be soldered. Used ICs and couplers had ground plane in the bottom of the component and that requires either re-flow soldering or hot gun air soldering. The re-flow process is following: Soldering paste is applied to the component pads. Then components are placed and next the PCB is put in the re-flow oven. In the heat, the solder forms joint between the pad and the component.

Applying correct amount of solder paste is critical. Too little solder paste forms a bad joint and too much solder paste can cause short circuits between different IC pads. Applying the paste manually is a tedious task and it is difficult to apply the correct amount of paste consistently. A stencil is commonly used to help this process. Stencil is commonly a metal or plastic sheet that is placed on top of the PCB. The stencil has holes in place of the pads. Paste can then be swept over the holes and thickness of the sheet adjusts the amount of paste. Stencil was made with a laser cutter on a transparent plastic sheet. The process of applying the paste can be seen in 3.7.

After applying the paste, the components can be placed to the board. As the components are very small, tweezers were used to help this process. The exact positioning of the components is not critical as the surface tension will move the components in the correct

(27)

Fig. 3.5.Top layer of the manufactured PCB

Fig. 3.6.Missing ground connections on the bottom layer

(28)

Fig. 3.7. Applying soldering paste through stencil

Fig. 3.8.Reflow soldering of the BB filters

positions. Surface tension is also helpfull as the PCB has components on both sides, as it can hold the component in place even when the components are facing ground.

3.4 Antenna Design

SI is the problem to be solved in IBFD. The problem cannot be removed complitely with antenna design, but it will have a huge impact on the response of the SI channel. It is shown in the work [20] that a high isolation antenna, when combined with a digital

(29)

canceller, can suppress the SI sufficiently without any analog RF cancellation. In the scope of this work, it would be counter productive to use such high isolation antenna.

Instead, to fully test the SI cancellation from analog RF canceller, a low isolation antenna should be used. Using a shared antenna together with a circulator is a suitable solution. It also requires less space than dual antenna setup could be more realistic choice in mobile user equipment. The problem with a circulator is that the provided isolation can only be as good as the reflection coefficient in the antenna port. Typical wifi-antennas that can be found on user equipment, such as wlan routers, can have relatively poor matching.

When they are connected to a circulator, they do not provide much isolation. Measured isolation with the circulator MESL104 and seven different wifi antennas from Cisco are shown in figure 3.9. The measurement shows that the isolation can vary from 10 dBto above30 dB.

Fig. 3.9. Measured antenna isolation with circulator MESL104 with seven different cisco antennas

In this scenario the user does not have much control over the isolation. Large number of ciculators and antennas could be bought and tested hoping that some combinations would match the requirements for isolation. Such combination was found for the demon- stration setup and it is shown in figure 3.12. Measured s-parameters are shown in the figure 3.10 and the isolation is only around17 dB. When used with the internal input split- ter and6 dBconfiguration from the coupler board, this isolation is enough. For the other

(30)

2.3 2.35 2.4 2.45 2.5 2.55 2.6 2.65 2.7

−40

−30

−20

−10 0

Frequency (GHz)

Amplituderesponse(dB)

S11 S31 S13 S33

Fig. 3.10. Antenna isolation in the demonstration setup when antenna is connected to the port 2 of the circulator MESL104

Fig. 3.11. Selection of available circulators

built analog RF canceller a different configuration was required as the antenna and circu- lator was given away with the demonstration setup. Following requirements were initially decided for the antenna design and antenna isolation with a circulator.

• Center frequency:2.44 GHz

• Bandwidth:80 MHz

• Isolation with a circulator:30 dB

• Radiation patter: Ideally omnidirectional

Having a perfect omnidirectional radiation pattern is in practice impossible, so a half-wave dipole antenna was chosen as the antenna type. Dipole antennas have a donut shaped radiation pattern. Other good thing about dipole antenna it has simple geometry and it is

(31)

Fig. 3.12.Used circulator and antenna for Intel demonstration system

Fig. 3.13.Different blocks of the designed dipole antenna

(32)

Fig. 3.14. Circulator port numbering and dipole antenna position aligned with circulator on the left and crossed position on the reight

easy to build on a PCB. The problem is that dipoles have usually very high impedance, the designed dipole has impedance of roughly 300Ωand it is a balanced antenna. The circulator has a impedance of 50Ω and it is unbalanced. In electronics,voltage in un- balanced structures is between ground and the conductor, but in balanced structure the voltage is between two condutors. Incase of the dipole the voltage is between the arms of the dipole. Two things are required for the dipole to be usable with the circulator providing good isolation. Firstly, the dipole impedance should be matched close to the impedance of the circulator and secondly, the unbalanced voltage form coaxial connector of the cir- culator should be transformed to balanced voltage. Both of these things can be done using a electronical device called Balanced to unbalanced (balun). balun does not only transform the signal from balanced to unbalanced or vice versa. It can also transform the impedance if so designed. What makes this impedance matching difficult, is that the antenna impedance changes when the orientations to the circulator changes. Example of the orientation can be seen in figure 3.14 and changes to the antenna isolation are in figure 3.15. This could be solved by simulating both antenna and the circulator together in a simulator software, but as a novice antenna designer this task was found to be too difficult. Instead, the balun was used to transform the impedance close enough and extra space for a matching circuit was left in the antenna between the balun and the input coax-

(33)

2.4 2.41 2.42 2.43 2.44 2.45 2.46 2.47 2.48 2.49 2.5 Frequency (GHz)

-45 -40 -35 -30 -25 -20 -15

Isolation (dB)

Port 1 aligned Port 1 crossed Port 2 aligned Port 2 crossed Port 3 aligned Port 3 crossed

Fig. 3.15.Designed dipole antenna isolation with circulator JQL S6.

ial connector. Designed dipole and different blocks of the design can be seen in figure 3.13.

The antenna was first simulated using HFSS software and then manufactured on a FR4 PCB. The center frequency of the build antenna did not match the simulated frequency and was first at2.42 GHz. This is most likey because of the used simulation parameters for the PCB did not match the real values. The PCB did not have a datasheet so the parameters were estimated from other datasheets. The center frequency can be shifted afterwards by shortening the dipole. The dipole was shortened by scratching the dipole arms with a knife. This way, the center frequency was increased close to 2.45 GHz. If the dipole had too high center frequency, then copper tape could be added to the dipole arms to increase the length. The impedance of the circulator port and dipole antenna were measured and based on the results a impedance matching circuit was designed.

Antenna impedance was measured when it was close to the circulator in a place simi- lar to the actual position when connected. This was accurate enought and after testing few different capacitors a 6.8 pF capacitor was placed parrallel to the trace to improve the matching. Final result can be seen in figure 3.15. Before the final antenna multiple revisions of the antenna were designed and the final design is revision five. Original idea of having over30 dBisolation was found to be impractical. The designed antenna had, in some scenarios, isolation over30 dB. For signal bandwidth of20 MHz the provided iso-

(34)

Fig. 3.16.Measured radiation pattern of the designed dipole antenna

lation could vary over20 dBdepending on the position of the antenna. Having such high isolation requires good matching between the cicrulator and the antenna, but changes in the enviroment changes the antenna impedance. In practice it was found that if the isolation is lower, then similar changes in enviroment changes the isolation less than with higher starting isolation.

Radiation pattern of the antenna is one of its main properties, but in this application the radiation pattern is not very imporant. Measuring it is still important to verify that the antenna is able to radiate, as the created SI channel would be simple if the antenna was just a terminator. Measured radiaton pattern can be seen in the figure 3.16 and donut shape can be vizualized from the 2D image. When circulator is connected to the antenna the pattern will change as the circulator is a metallic object near the antenna.

The measurement system did not allow the radiation pattern to be measured with the circulator.

(35)

0 2 4 6 8 10 12 14 16 18

-2 20

-100 -80 -60 -40 -20

-120 0

Freq (ns)

S21( dB)

Fig. 3.17. Measured time domain impulse response of the circulator and dipole

When looking at the SI channel created by the circulator, antenna and surrounding en- viroment, channel frequency and phase resonse is not the only thing to take account.

As shown in figure 2.5, the SI is a combination of circulator leakage, antenna reflection and reflections from surrounding enviroment. This means that the SI sources are spread in time domain. This time domain response can be calcutated from measurement with vector network analyzer (VNA). Frequency response can be measured with VNA and then the IFFT can be performed on the frequency domain data to get the time domain data. Measured time domain response can be seen in figure 3.17. It should be noted that the shown power is not absolute but relative. The first peaks at 2 ns are leakege from the circulator and the peak before4 nsis the antenna reflection. Measuring the time domain response with VNA has its limits. When a low pass transformation is used, the alias free region is the inverse of the lowest frequency point. In the measurement shown in figure 3.17, the lowest frequency was50 MHzand this corresponds to maximum alias free lenght of 20 ns. If a bandpass transformation is used, then the alias free length is restricted by the used bandwidth. In a lab this kind of measurement is simple to do and in a room the reflections are close in time. If the SI channel would be measured in a case of BS deployed in urban enviroment, a channel sounding system presented in [32]

or something similar could be used.

(36)

Fig. 3.18. Port mapping of the RF canceller

3.5 Canceller Parameters

The integrated circuits in the canceller, downconverter MAX2023 and vector modulator HMC631 requires DC power to operate. Unfortunaltely, the required voltages are differ- ent for both components,8 Vfor HMC631 and5 Vfor the MAX2023. Decision was made to not transform voltages internally in the PCB from single voltage, so the canceller re- quires two different voltages to operate. The laboratory supplies have multiple channels available, so requiring multiple voltages does not increase the complexity of the system. It simplifies the power supply design. Zener diodes are used in the power supply to protect the ICs from over voltage. The 5 V supply draws roughly 1300 mA of current and The 8 Vsupply draws roughly 260 mAof current. The fgpa control system has its own power supply.

The built canceller and port mapping can be seen in figure 3.18. The ensure good RF performance all the coaxial ports should be matched close to50 ohm. Suffiecient number is return loss better than10 dB. If the return loss is much worse than said10 dB, then a strong standing wave is created and this creates ripple in the frequency response. This kind of ripple is bad for the performance as the canceller tries to estimate the SI channel and having strong frequency selectivity in the canceller makes this task more difficult.

Some of the ports did not fullfil this design choice of return loss better than10 dB. Two main problems were the ports Tap 1 and Tap 3. To make this problem worse, in both ports the coaxial connector is very close to the couplers and this leaves very little room for a matching circuit. For both ports the trace was cut with a knife and a series capacitor was placed to improve matching. The original return loss and the improved return loss after

(37)

2.4 2.41 2.42 2.43 2.44 2.45 2.46 2.47 2.48 2.49 2.5 Frequency (GHz)

-15 -14 -13 -12 -11 -10 -9 -8 -7 -6 -5

S11 (dB)

Tap 1 Tap 3

Tap 1 matched Tap 3 matched

Fig. 3.19.Tap 1 and 3 original return loss vs matched return loss

Fig. 3.20

Fig. 3.21.Simulated VM matching circuit on the left and a realization of it on the right

matching can be seen in figure 3.22. Designed matching network for the downconverter MAX2023 did not work as intended and it was replaced with a0Ωresistor to provide over 10 dBreturn loss.

Hittite Microwave HMC631LP3E vector modulator is a wide bandwidth device. Impedance matching network was added to the output of the vector modulator to provide better output matching in the ISM-band. This matching was only done to the demonstration device as the difference in performance was barely noticeable. The simulated matching circuit and the matching circuit in build PCB are shown in figure 3.21.

(38)

2.4 2.41 2.42 2.43 2.44 2.45 2.46 2.47 2.48 2.49 2.5 Frequency (GHz)

-28 -26 -24 -22 -20 -18 -16 -14 -12 -10 -8

Vector modulator output S22 (dB)

Original Matched

Fig. 3.22.Vector modulator original vs matched output return loss

Another intresting parameter of the vector modulator is the attenuation with different I and Q weights. When the attenuation was measured it was found that the attenuation circle was slightly missplaced from the ideal I1.5 Vand Q 1.5 Vas shown in 3.23. This is most likely due to imbalance in phase and amplitude in the I and Q traces. The vector modulator and balun before it were placed by hand and small and even a small error can change the outcome. This was then tested with one of the boards by removing the components and re-soldering them and measuring the attenuation circle again and the measured gain circle changed everytime. Problem with missplaced gain circle is that the minimum achieved attenuation depends on the phase. Namely with 90 and 180 degrees the minimum attenuation is lower than with other phases. The control system can be calibrated to start from the acutal maximum attenuation, not at I and Q at1.5 V.

The feedback loop had also one serious flaw. When the cancellation is increased, the power of the feedback signal is reduced. As shown in figure 3.24 drops to range of1 mW with input power of−50 dBm. As the feedback loop is taken from the received signal with a 10 dB coupler this power is reduced further. To improve perormance of the feedback loop, a LNA was placed before the downconversion to amplify the signal. Also digital gain was added in after the ADC. The loop could be further improved with an baseband amplifier with AGC, so that the gain could be increasd with higher cancellation levels.

Reducing noise figure and insertions losses between the feedback coupler and ADC will

(39)

0 0.5 1 1.5 2 2.5 3 I Voltage

0 0.5 1 1.5 2 2.5 3

Q Voltage

-45 -40 -35 -30 -25 -20 -15

Attenuation (dB)

Fig. 3.23. Measured VM attenuation in color map and the ideal control circle in black.

improve the performance of the control system and at the same time performance of the whole canceller circuit. For an actual consumer device the receiver itself can be used for the feedback and this should improve the performance. In the test setup, using receiver and computer to control the canceller would be a slow process compared to fpga controlling the canceller.

The built coupler board has two configurations. The SI estimation and received signal can be combined with a10 dBor6 dBcoupler. The feedback is always taken with a10 dB coupler. This adds some flexibility to the range of usable antenna isolations. Later it was found that the coupler board had one serious flaw. The transmission signal was coupled over the air to the coupler board as the board itself is a decent antenna. The coupling is different between the ports so the signal in feedback and receiver are not the same.

As the control system tries to minimize the power in the feedback this did not minimize the power in the receiver. Figuring out this behaviour and realizing that the problem was indeed the coupler board took a long time. For this reason the coupler board was replaced with other components shown earlier in this chapter.

input splitter insertion losses.

Measured canceller insertion loss is shown in figure 3.29. This result is important as it limits the minimum required antenna isolation. Most of this attenuation is coming from

(40)

−501 −45 −40 −35 −30 −25 −20 −15 −10 −5 0 5 10 15 10

100 1000

RFin(dBm) VoutIorQ(p-pmV)

Fig. 3.24. MAX2023 measured and fitted output voltage with 20 MHz LTE-test signal.

PAPR approximately10 dB.

2.4 2.42 2.44 2.46 2.48 2.5

−30

−20

−10 0

Frequency (GHz)

S11(dB)

Coupler board (5 dB)

RX Circulator Feedback Cancel

2.4 2.42 2.44 2.46 2.48 2.5

−30

−20

−10 0

Frequency (GHz) Coupler board (10 dB)

RX Circulator Feedback Cancel

Fig. 3.25. Measured coupler board input matching

the vector modulator, so using a component such as vector multiplier should decrese this significantly. This insertion loss does not includes input splitter, so if it is used for transmission also then the different insertion losses from 3.28 can be removed from this value. Instead, if a input coupler is used, then the difference is added to this insertion loss. Same goes for the combining of the SI and SI estimate. If combiner is used, then

(41)

2.4 2.42 2.44 2.46 2.48 2.5

−30

−20

−10 0

Frequency (GHz)

S21(dB)

Coupler board (5 dB)

Circulator RX

Cancel in to feedback Circulator to feedback

2.4 2.42 2.44 2.46 2.48 2.5

−30

−20

−10 0

Frequency (GHz) Coupler board (10 dB)

Circulator to RX Cancel to feedback Circulator to feedback

Fig. 3.26.Measured coupler board insertion losses

Fig. 3.27.Coupler board with switchable configuration

the losses are same for both paths. If coupler is used, then the difference comes from the coupling factor and coupler insertion loss.

Tap Delays

Same method of measuring the time domain response of the SI channel can be used to measure the time domain response of the canceller. This helps to position the taps in time.

It can be difficult the identify what peak relates to what tap, so three measurements can be done to help with that. On each measurement one tap is set to minimum attenuation and other taps are set to maximum attenuation. This can be then repeated for each tap. Now in the results there should be only one high peak on each measurement corresponding to the tap with minimum attenuation. The measurement results can be seen in figure 3.30.

(42)

2.42 2.42 2.43 2.43 2.44 2.44 2.45 2.45 2.46

−8

−7.5

−7

−6.5

Frequency (GHz)

Tx to tap 1 Tx to circulator Tx to Tap 3

Fig. 3.28.4-way splitter insertion losses

2.4 2.41 2.42 2.43 2.44 2.45 2.46 2.47 2.48 2.49 2.5

−20

−15

−10

−5 0

Frequency (GHz)

S21(dB)

Fig. 3.29. Measured canceller insertion loss through tap 2 (internal delay) with minimum attenuation

Knowing the delays in the system helps when changing the tap delays with cables. Mea- sured cable delay can be found in 3.1 and internal delays of the board can be found in table 3.2. The taps were place corresponding to the measured SI channel time domain response. There is no strict rules how they should be place, but intuitively tha taps should be placed in a spots were there are high peaks in the SI response. In practice this method was found to be good approach. The canceller has the following tap placement. Shortest delay is for the circulator leak, second shortest delay is for the antenna reflection. In the response shown in figure 3.17 there is no high peaks after the antenna so suitable delay is figure out through trial and error. The combined figure of the tap placement with the SI channel is shown in 3.31.

(43)

0 2 4 6 8 10 12 14 16 18

-2 20

-120 -100 -80 -60

-140 -40

Freq (ns)

S21( dB)

Tap1 Tap2 Tap3

Fig. 3.30. Measured time domain impulse response of the canceller with each tap at minimum attenuation at a time

Table 3.1.Measured cable delays. Names refer to the port mapping shown in figure 3.18

Cable Length(cm) Delay(ns)

Tap 1 44 2.1

Tap 3 100 5

Cancel 16 0.8

Circ 1 18 0.9

Circ 2 16 0.8

Feedback IQ 7 0.45

Tap IQ 50 2.5

Table 3.2. Measured internal delays without cables. Names refer to the port mapping shown in figure 3.18

From To Delay(ns)

TX Cancel Out 1.4

TX To circulator 0.3

Cancel in(5/10dB) Feedback 0.5

From circulator(5/10dB) Feedback 0.5

Viittaukset

LIITTYVÄT TIEDOSTOT

Helppokäyttöisyys on laitteen ominai- suus. Mikään todellinen ominaisuus ei synny tuotteeseen itsestään, vaan se pitää suunnitella ja testata. Käytännön projektityössä

7 Tieteellisen tiedon tuottamisen järjestelmään liittyvät tutkimuksellisten käytäntöjen lisäksi tiede ja korkeakoulupolitiikka sekä erilaiset toimijat, jotka

Työn merkityksellisyyden rakentamista ohjaa moraalinen kehys; se auttaa ihmistä valitsemaan asioita, joihin hän sitoutuu. Yksilön moraaliseen kehyk- seen voi kytkeytyä

Koska tarkastelussa on tilatyypin mitoitus, on myös useamman yksikön yhteiskäytössä olevat tilat laskettu täysimääräisesti kaikille niitä käyttäville yksiköille..

The new European Border and Coast Guard com- prises the European Border and Coast Guard Agency, namely Frontex, and all the national border control authorities in the member

The US and the European Union feature in multiple roles. Both are identified as responsible for “creating a chronic seat of instability in Eu- rope and in the immediate vicinity

States and international institutions rely on non-state actors for expertise, provision of services, compliance mon- itoring as well as stakeholder representation.56 It is

Mil- itary technology that is contactless for the user – not for the adversary – can jeopardize the Powell Doctrine’s clear and present threat principle because it eases