• Ei tuloksia

Dry etching of sub-wavelength analogue gratings

N/A
N/A
Info
Lataa
Protected

Academic year: 2022

Jaa "Dry etching of sub-wavelength analogue gratings"

Copied!
51
0
0

Kokoteksti

(1)

DRY ETCHING OF SUB-WAVELENGTH ANALOGUE GRATINGS

Ville Mantela

MSc Thesis May 2020

Department of Physics and Mathematics

University of Eastern Finland

(2)

Ville Mantela Dry etching of sub-wavelength analogue gratings, 46 pages University of Eastern Finland

Master’s Degree Programme in Photonics Supervisor Ph.D. Petri Karvinen

Abstract

Diffraction gratings are widely used in the field of optics. These gratings are generally made as a binary grating structure due to the ease of manufacturing. However, the grating efficiency of binary gratings is typically poor in a single diffraction order. In analogous grating structures, it is possible to achieve the maximum grating efficiency for a single diffraction order. Thus, analogue gratings are helpful in a plethora of applications as they allow one to use more freedom in other parts of the system.

Sub-wavelength gratings have interesting properties as they can be used in several applications. One can use these to control the polarization of the light, fiber-to-chip grating couplers, and anti-reflection coatings just to name a few.

In this work, the focus was to optimize a reactive ion etching (RIE) process for transferring the sub-wavelength analogous grating structure from the resist layer to the substrate layer as a part of a lithographical process. The substrate material used in this work was silicon. The optimization process consisted of tuning the gas composition between sulphur hexafluoride (SF6) and oxygen gases, then tuning the radio frequency power of the RIE system and then finally tuning the etching time.

The optimized parameters for this process were found to be gas composition of 20/15 sccms (SF6/O2), radio frequency power of 160 W and etching time of 1 minute for an analogous grating profile of 130 nm height and with 300 nm period. Chamber pressure was held constant during this work at 10 mTorr of pressure.

Keywords: Diffraction gratings; Nanostructure fabrication

(3)

Preface

I’d like to thank everyone who has been part of this thesis work. Firstly, I want to thank my supervisor Petri Karvinen, who has taught me the ropes of nanofabrication and helped me along with Olli Ovaskainen during the thesis work. I’d also like to thank Ismo Vartiainen and Dispelix Oy for providing me this fantastic opportunity to do this nanofabrication related project. I want to thank all of the staff at the Department of Physics and Mathematics here at University of Eastern Finland who have helped me during my studies here.

I am grateful for my friends and family for supporting me. First of all, I want to thank Mr. Leevi Lind for proofreading my thesis and giving me great suggestions to better my thesis work. I want to thank my wonderful wife for enduring me sitting on the computer for excruciating amount of hours while I have been working on this thesis at home, while keeping our little punk in check. And Benjamin, thank you for cheering your dad up during this project by being a 2 year old. I hope you’ll keep that curiosity with you.

Joensuu, the 17th of May 2020 Ville Mantela

(4)

Contents

1 Introduction 1

2 Theory 3

2.1 Patterning methods . . . 3

2.1.1 Resist . . . 4

2.1.2 Photolithography . . . 5

2.1.3 Electron Beam Lithography . . . 6

2.1.4 Nanoimprint lithography . . . 8

2.1.5 Focused Ion-beam lithography . . . 10

2.1.6 Gray-scale lithography . . . 11

2.1.7 Development . . . 12

2.2 Etching . . . 12

2.2.1 Wet vs. dry etching. . . 13

2.2.2 Etching silicon . . . 14

2.2.3 Reactive Ion Etching . . . 15

2.2.4 Deep Reactive Ion Etching . . . 18

2.3 Etching parameters . . . 19

2.3.1 Gas flow rate . . . 19

2.3.2 RF Power . . . 20

2.3.3 Chamber pressure. . . 20

2.3.4 Temperature . . . 20

2.3.5 Gas composition . . . 21

(5)

3 Process overview 23

3.1 Sample processing. . . 23

3.2 RIE process . . . 24

3.3 Characterization . . . 26

3.3.1 Scanning Electron Microscope . . . 26

4 Results 29 4.1 Gas composition . . . 30

4.2 The effects of RF Power . . . 32

4.3 Repeatability . . . 34

4.4 Selectivity . . . 36

4.5 Micromasking . . . 37

4.6 Etching rate . . . 38

4.7 Optimized process . . . 40

5 Conclusions 42

References 44

(6)

Chapter I

Introduction

Diffraction gratings are widely used in the field of photonics. They are crucial in applications, where one would need to separate white light into discrete monochro- matic components of light in a controlled manner. Such applications are, for ex- ample, spectrometers, spectrophotometers, monochromators, and lasers to name a few. [1,2] Typically, diffraction gratings are made by ruling. In this process, a fine diamond tool is used to create these grooves of the grating individually. Generally, this is done to create a master which is then used to mass-produce the actual diffrac- tion gratings. With this method, one can create virtually any shape of the structure.

However, as the pattern gets smaller and the size of the grating area gets larger, the time needed for the process grows rapidly. Therefore, lithographical methods tend to be used, as they can write small features into a relatively large area faster than machining. The problem with lithographical methods is that binary grating structures are much easier to manufacture, thus they are usually preferred in many applications. [3]

However, in binary gratings, the grating efficiency for one specific order is poor.

Higher grating efficiency is often desired, especially if the power of the light source is low. The grating efficiency describes the portion of the light that is diffracted into a single diffraction order. Higher grating efficiency also reduces the amount of stray light in the system. In blazed gratings, which is a form of an analogous grating structure, the grating efficiency is optimized to have a maximum grating efficiency in a single grating period. This is generally achieved by creating the so-called Littrow configuration. In this configuration, the grating is tilted so, that the incident angle and a diffraction angle are the same. As stated earlier, the more complicated shape

(7)

of the analogous structures increases the cost and time needed to manufacture them.

But in some applications, the high grating efficiency is necessary for the operation or the accuracy of the system, hence making the analogous structures interesting. [2,3]

If we would shorten the grating period of these structures until we have the period shorter than the wavelength of light used, this structure is called a sub- wavelength blazed grating. Structures, where the grating period is approximately half of the wavelength of the light used, have a myriad of applications, which make them interesting and widely used in photonics. Sub-wavelength gratings can be made as a surface grating redirecting light in a transparent substrate [4,5], fiber-to-chip grating couplers [6–8], and multimode interference couplers [8], and anti-reflection coatings [9,10] to name but a few.

In this study, the primary purpose is to find a reactive ion etching (RIE) pro- cess to transfer a sub-wavelength blazed grating from a resist profile onto a silicon substrate. The purpose of the RIE process is to selectively etch some material away to produce a pattern onto a surface. RIE process achieves this by using a reactive gas to both induce chemical reactions on the surface of the silicon and ionize the gas and use an electric field to bombard the surface with ions [11–15]. However, the overall process to fabricate blazed gratings has more steps than just the RIE process.

Therefore, in Chapter 2, we describe a general process for the fabrication of blazed grating structures. In Chapter 3, we discuss the methods used during this study.

In Chapter 4, the results gathered during this study are discussed. The effects of different parameters and other phenomena found during this work are discussed in this chapter. Finally, in chapter 5, we present the conclusions for this thesis work.

(8)

Chapter II

Theory

In this chapter, the theoretical background of the fabrication of analogous gratings is discussed. The generalized processes to fabricate such structures are described in this chapter. We will begin by discussing the patterning methods to produce analogous structures. After patterning, we will discuss the main process that is done in this work, the etching process. We will compare the used reactive ion etching method to other viable etching techniques. Then we will discuss the important parameters regarding the etching process and how they affect the etching result. The examples used in this chapter are mainly about silicon-based processes, as it is the substrate material used in this work. A general lithography process schematic can be seen in Fig. 2.1. The process generally starts by selecting a substrate material and then coating the substrate with a polymer (resist) layer, if needed. Then the selected lithographical technique is used to create a pattern onto the resist layer and then developed. Then the pattern is transferred by some method from the resist layer onto the substrate layer.

2.1 Patterning methods

Nanoscale structures can be fabricated with a plethora of different lithography meth- ods. In nanoscale structures, lithography generally describes a pattern formation onto a polymer surface. This pattern can be analogous or binary structure. This patterning can be done with for example photolithography, electron beam lithogra- phy (EBL), nanoimprint lithography (NIL), focused ion beam lithography, to name a few [13,16].

(9)

a.

b.

c. d.

e.

Figure 2.1: A basic flow chart of a nanofabrication process for blazed grat- ings. The process starts with just the wafer (a), which is then coated with a resist layer (b). Then the resist is exposed (c) and developed (d), after which the resist layer is etched away while transferring the pattern onto the substrate (e).

2.1.1 Resist

Resist in lithography refers to a layer of some material on which the pattern is formed. This layer is commonly a polymer or a metal layer. These polymers are divided into two groups depending on the effect that the exposure causes to the polymer. In positive tone resist materials, the exposed area is more soluble to the developer as the polymer chains are destroyed in the exposed areas. The exposure in negative resist causes cross-linking in the resist material, hence making the exposed area non-soluble in the developer later in the process. The resist layer should endure the etching process, as it is used in many cases as an etching mask. The resist material depends on the lithography method used and if the general process needs positive or negative resist. For example, in EBL the resist’s chemical structure has to alter only when bombarded with high-energy electrons, whereas in photolithography the resist material needs to be photosensitive. One does not need a resist layer necessarily in NIL, but usually, the fabrication process of the master used for NIL

(10)

is done with some other lithography method, like EBL, that requires a resist layer.

[12,13,17]

2.1.2 Photolithography

Photolithography is currently the most used lithography method [12]. In pho- tolithography, the substrate is covered with a layer of photoresist, which is sensitive to certain wavelengths of light, also called “actinic light” [16]. The actinic light causes a chemical reaction in the resist layer, which makes the resist either more or less, depending on the tone of the resist, soluble to the developer. [12–14,16–18]

Depending on the resist tone, a negative or a positive image of the pattern is fabricated on a mask plate which is then set over the sample surface. A negative image is made on to the mask in the case of positive tone resist and vice versa. This image is usually generated by a flat glass plate, which is highly transparent to the used wavelength of light. The image of the desired structure is created on top of this glass plate by introducing an opaque layer on to the surface. The resolution of the exposure is limited by two factors, the resolution of the mask layer and the wavelength of light used. To increase the resolution of the process, the mask is usually made by a more accurate method, for example by EBL, than the required pattern size. Also, the wavelength is chosen to be as short as possible to suit the process. [12–14,16,17]

The reason why photolithography is still used widely is the fact that it is easy to achieve high throughput with this method. With just one mask plate, which can be technically any size, one can produce large amounts of micro- and even nanoscale pat- terns. Also, the exposure time needed to expose the pattern is relatively short com- pared to most of the other methods mentioned earlier as photolithography and NIL are replication processes, whereas other methods are direct-write methods. Repli- cation takes significantly less time as direct-write methods, but one can achieve more complicated patterns with direct writing without the need of creating a new master. The process resolution is dependant on the resolution of the mask and the wavelength of the electromagnetic radiation. UV light is usually good for microscale fabrication, whereas in nanoscale one would typically use X-ray radiation to pro- duce nanometer-scale linewidth. However, there are methods to use wavelengths around 200 nm for creating transistors with 10 nm feature size in semiconductor industry [19]. [14]

(11)

2.1.3 Electron Beam Lithography

Electron beam lithography is a common process for direct writing of nanoscale struc- tures. In EBL electrons are bombarded on the resist surface to alter the chemical composition of the resist layer. The electrons are accelerated by a potential dif- ference towards the sample surface. These electrons are focused into a beam with electro-optical condenser lenses as seen in Fig. 2.2. The spot of the beam on the sample is controlled with the deflectors after the aperture. There is a limited area that can be written without moving the sample stage. This area is called a main- field. After a single mainfield is written, the beam blankers close the beam while the sample stage moves to the next mainfield. The movement of the stage is monitored via an interferometric measurement system. [20]

The accuracy of the EBL comes with a cost. The writing speed of EBL is limited, therefore, large areas will take a long time to expose. Hence, it is difficult to configure large-scale production lines with EBL and it is mainly used as a research tool, in small batch size production, and creation of masters for other lithographical methods. However, if the pattern size is around 100 nm scale, there is little to no competition to EBL as a lithographical method. [14,21]

EBL differs also in its limitations from photolithography. The resolution is not limited by the wavelength of the electron, but by the optics. The most significant limitations to EBL writing are optical aberrations, diffraction, and electron scatter- ing. If the EBL would be limited by the wavelength of the electron, one could get writing resolution on the picometer scale. However, with these optical limitations, one can produce patterns with around 5 nm feature size at this moment. [14]

As the electrons are charged particles, there are electromagnetic forces between two electrons at all times as Coulomb’s law states. There is repulsion between two electrons, which causes them to deviate away from each other. This is also called Coulomb repulsion. Coulomb repulsion limits the amount of beam current that can be used in the process. [14]

Electron scattering can be divided into two main scattering types, forward and backscattering. Forward scattering is caused by the mass of the electron. This phenomenon causes electrons to spread into the resist layer and destroying the bonds around the desired area, hence broadening the exposed area. The effect of forward scattering on the sample can be decreased by producing a thinner resist layer on top

(12)

Electron source

Condenser lens

Beam blanker Aperture

Deflectors

Objective lens

Sample stage

Figure 2.2: A basic schematic of an EBL system. [22]

of the substrate or by increasing the acceleration voltage of the electrons. However, there are problems with this approach as the resist thickness is vital for the pattern transfer process that usually follows the lithography part. If the resist layer is too thin, the resist does not withstand the etching process. Thin resist layer could leave some holes in the resist layer. These can cause some problems in the pattern transfer phase by transferring these holes into the substrate layer during the etching process.

This ‘pinhole’ effect can sometimes be decreased by increasing the thickness of the resist layer along with the beam energy. The effects of forward scattering are usually in the scale of tens of nanometers. [14]

If the pattern fabricated is densely drawn, where there are two structures close to each other, there can be some scatterers from the other structure that can cause similar problems to electron scattering. In this case, the electrons scatter from the boundary surfaces of the materials with large scattering angles, which is called backscattering. The backscattered electron penetrates the area where the other

(13)

structure is and breaks the chemical bonds in the resist, thereby exposing the area some amount more than it should. The effect of the backscattering varies along with the structure. More exposed areas there are next to each other, greater the effects of the backscattering. Therefore, backscattering affects the middle of the structure the most, whereas the corners and the edge suffer less from the backscattering. The effects of scattering in the process are often called the proximity effect. The effect of proximity effect can reach tens to even hundreds of micrometers. Hence, it needs to be taken into account during the EBL process. This phenomenon can be reduced by proximity correction. For example, the dose is lowered in the middle of the structure and increased on the edges of the structure. In practice, this means that dose testing is needed to calculate the effects of the backscattering to correct it before the actual fabrication process can take place. [14]

2.1.4 Nanoimprint lithography

Nanoimprint lithography is similar to photolithography as it does not create struc- tures by itself but is generally used to replicate structures made by other means.

There are multiple NIL methods to fabricate a nanostructure into a substrate. NIL processes can use heat to harden the resist layer, which is the case in thermal press nanoimprint and room-temperature nanoimprint (RT-NIL). The process can also use UV-light to harden the polymer layer. These are called UV-cured nanoimprint (UV-NIL) processes. Heat and UV-illumination can also be used both to cure the resist layer. Roll-to-roll continuous nanoimprint (R2R NIL) is an interesting pro- cess as it can use either of the curing methods, while capable of high throughput of optical elements. The method used is dependent mainly on the properties of the material used. [13]

All of the aforementioned methods use some amount of pressure to press the stamp master onto the desired material where the pattern is imprinted. The NIL process is started by creating a stamp for the imprinting. This stamp is a negative of the desired pattern and it is usually called a master, which is usually made with the EBL process. The master material can differ depending on the NIL method used.

For example, in high-temperature applications, the master needs to withstand the heat set upon it, while in UV-NIL one needs to use a transparent master. [13]

After the fabrication of the master, the patterning is different depending on the method used. In thermal press nanoimprint, the substrate with a thin polymer layer

(14)

is heated above the polymers glass transition temperature, where it starts to soften.

Then the stamp is introduced by pressing it into the soft polymer layer. After the pressing, the substrate is demolded from the stamp while the resist is still soft. As the master relief height is less than the resist thickness, there will be some residues on the bottom of the sample. Hence, this so-called bias layer is usually removed after the imprint by using oxygen plasma. [13]

In room-temperature nanoimprint lithography, the overall method is similar to the thermal press nanoimprint, but in RT-NIL the materials used are not stable or do not withstand high pressure. Some of the materials can have a glass transition temperature of tens of degrees centigrade [13]. This method can produce more throughput compared to the thermal press method, as the heating elements do not need to be heated into operating temperature during every process and then cooled down for the demolding. This is especially useful when the process is used for research purposes since multiple samples can be produced in a short time. However, the choice of viable materials is limited, which can in some cases limit the desired properties for the material. [13]

In UV-cured nanoimprint lithography, one can achieve imprinting at room tem- perature and in low pressure. In this method, the polymer layer is cured by UV-light.

This also means that the stamp has to be transparent to UV-light as the light is in- troduced through the stamp. For example, quartz can be used as a stamp material.

As this method can be done at room temperature, and with low pressure, the resist is generally in a liquid form at room temperature. The stamp is pressed into the polymer layer and UV-light cures the polymer. The sample is demolded, and the residual layer is etched away. [13]

Roll-to-roll nanoimprint lithography is one of the most efficient methods to pro- duce nanostructures in bulk [13]. This means that this method is not always viable for research use, as the manufacturing of hundreds or thousands of samples is rarely the case there. In this method, the stamp is made onto a pressing roll similar to the printing press used to produce newspapers. In thermal R2R NIL, the roll with a stamp is pressed against a supporting roll. Then the substrate with a polymer layer is pulled between these two rolls to imprint a pattern onto the polymer. It can be cured with UV-light while pressed with the roll, hence being closer to UV-NIL. In this case, there might not be a supporting roll for the process, but the substrate is tensioned between two adjacent rolls. This is to allow one to introduce a UV-light

(15)

to cure the polymer. [13]

2.1.5 Focused Ion-beam lithography

Focused Ion-beam lithography (FIB) is similar in principle to the EBL process. The sample surface is bombarded with a high-energy, highly-focused beam of charged particles. In FIB lithography, instead of electrons, one would use heavier ions to produce the desired patterns. There are several benefits of using ions instead of electrons in patterning. In FIB, the ions have more mass than the electrons. This greatly reduces the effects of the scattering, as the heavier ions do not scatter as far as electrons would. The FIB process is also more versatile than the EBL process as will be discussed later. [13]

In FIB systems, liquid metal ion sources (LMIS) are used to produce the ions.

The liquid metal is held under a strong electric field on the tip of a tungsten needle.

On this tip, the electric field strength can be up to 1010 V/m, which causes the ionization on the surface of the LMIS. Ions are then released via field evaporation and then accelerated towards the sample. [13,23]

There are a plethora of different metals that work as LMIS. The first LMIS used was gallium. Gallium has a low melting temperature of 29.8 centigrade, which makes it easy to keep in liquid form. It is still widely used as an LMIS in FIB systems.

Among the other metals tested for LMIS are aluminum, arsenic, gold, copper, iron, lithium, lead, silicon, uranium, and zinc, to name a few [23]. These metals can be either in single element LMIS, or they can be made into a eutectic alloy. [13]

As stated earlier, the FIB process is more versatile compared to the EBL process in some applications. In the EBL process, one can remove material by bombarding the resist layer with electrons, which affects the bonds in the resist layer to alter the solubility into the developer. In short terms, the EBL process requires a resist layer in most cases, which requires multiple steps to be taken to produce a pattern.

In FIB, the ions can be sputtered onto the sample to remove the material directly, and unlike EBL it can also be used for material deposition via a method called ion beam assisted deposition. However, the exposed area needs to be small in the case of FIB, as the writing speed is slower than in EBL due to the layer-by-layer nature of the FIB process. [13]

When using a FIB instead of the EBL, sensitivity is mandated by the ion-atom interactions, which can increase the sensitivity of the process. FIB process also

(16)

combats the problem of proximity effect which is strongly present in EBL. This is due to the mass of the ions, which lessens the spread of the beam, hence reducing the forward scattering. Furthermore, due to the mass of the ions, the backscattering is practically nonexistent. [13]

2.1.6 Gray-scale lithography

For analogous gratings, one usually needs to implement gray-scale lithography. This can be performed with all of the aforementioned lithography methods but is more commonly implemented with photolithography and EBL. One could also produce a master for NIL, which could be used for mass manufacturing of analogous structures into polymers with roll-to-roll nanoimprint methods. The FIB process is also a viable option for gray-scale lithography, as it only removes a thin layer of matter at a time.

By altering the number of times a single pixel is exposed, one can create different gray-scale structures. [13,24]

One of the simplest ways to produce analogous gratings is to use photolithogra- phy. As stated earlier, in photolithography there is a light-sensitive polymer layer, also called photoresist, on which the pattern is formed. The pattern can be changed by changing the mask. If one would like to produce an analogous grating structure with photolithography, one needs to produce a gray-scale mask. This mask has a pattern that changes gradiently in some direction. When exposing through the said mask, we have a different amount of photons arriving onto the resist layer for differ- ent locations. Areas that receive different exposure doses will dissolve with different rates during development, and thus, one can achieve analogous gratings onto the substrate. [13,14]

EBL can also be applied to produce analogous structures into substrates. This can be achieved by using a fixed dose and expose the resist in multiple passes. In other words, the electron beam will achieve the analogue pattern by passing through the exposed area different number of times to modify the total dose in different areas.

Another way to achieve analogous structures with EBL is to modify the dose for each pixel in the pattern. [25–27]

As NIL is dependant only on the masters used for the process, one can produce practically any kind of a structure with NIL. This is true also for the gray-scale structures. Hence, mass-producing gray-scale structures is a possibility. [13]

(17)

2.1.7 Development

When the aforementioned lithographical methods, apart from FIB and NIL, are used, the exposed resist(latent resist image) is developed into a relief image. In this process, the developer dissolves the exposed area or it dissolves the area around the exposed area, depending on which type of resist was used. This relief image becomes either a finished product or a mask for later processes for the substrate. [12]

Development can be divided into wet and dry development. From these, the wet development process is more common. In wet development, the developer can be introduced in many ways: by submerging the sample into the developer, by introducing a puddle of developer on top of the sample, or by spraying the developer onto the sample. The liquid used depends on the resist material as the exposed area needs to be soluble to the developer. The developers used in wet development are usually alkaline based solutions or organic solutions. In the dry etching process, a plasma or vapor phase-based process can be used. For example, in a plasma- based development process, an oxygen-RIE process can be used to produce the relief image. [12]

Depending on the lithographical and development methods used, one might need to de-scum or hardbake the wafer after the development process. De-scumming is used in processes where there might be a residual polymer layer left from the develop- ment process onto the pattern. The removal of this layer is achieved by introducing a mild oxygen plasma to the wafer surface. Hardbake, on the other hand, is needed mostly in photolithography. Hardbaking removes solvents and other compounds that can create swelling in the structures from the wafer surface and enhances the adhesion of the resist layer. This process makes the resist layer more rigid, which can be desired for the etching processes that often follow the development. [12]

2.2 Etching

Etching in micro- and nanofabrication is a method to selectively remove material from a surface. During the etching process, the etchant destroys the sample surface by chemical and/or physical means. Etching usually follows the patterning part where the structures are made on a resist layer. This structure needs to be trans- ferred to the desired layer, which is often the substrate. The etching method to achieve this is highly dependant on the materials and desired structures as several

(18)

different etchants work with each material. [12,14]

There are a plethora of important parameters when talking about etching but of these, there are two important ones regarding this work. One of these is selectivity, which describes the difference in the etching rate of two different materials. In the case of etching, selectivity means the ratio of the mask layer etched compared to the substrate etched. A thin resist layer is often desired, as it is easier to expose.

However, the process needs to be highly selective to allow one to use a thin resist layer. The selectivity is an important parameter to know when deciding the resist thickness, as too thin layer would be etched before the desired pattern depth is achieved in the substrate. [13]

Generally, the etching is used to transfer patterns from a mask layer to a substrate material underneath the mask. The ideal case with analogous structures would be that the pattern is transferred to the substrate perfectly. However, it is generally the case that there will be some distortions in the pattern transfer. Another problem inherent in the etching process is lateral etching. In lateral etching, the etching occurs under the mask layer, which broadens the etching and distorts the pattern in the substrate. The second important parameter, anisotropy, describes this lateral etching rate. The more anisotropic is the process, the less there is lateral etching. [13]

2.2.1 Wet vs. dry etching

Etching can be divided in many ways, but the most convenient way is to divide them into wet and dry etching. Wet etching is a more traditional way to etch materials.

In the simplest form of wet etching, the sample is submerged into the etchant and held submerged for a certain time. The advantages with wet etching are that it is faster than dry etching, it has better scalability and good selectivity [12].

There are some problems with the wet etching process though. As the process is chemical, it creates reaction products during the etching process. These can be harmful to the etching process if they stay close to the sample surface as the etchant cannot reach it to keep the etching process going. This can lead to uneven etching results or slowed etching rate. In some cases, these by-products can also catalyze the process, which can lead to increased etching speed. As the wet etching process is a chemical process, it can be endothermic or exothermic. This can cause some variability in the etching process since temperature generally affects these reactions.

In these reactions, one can alleviate this problem by stirring. However, in some

(19)

processes, the stirring can harm the process by limiting mass or heat transport, hence affecting the etching rate. Some etchants evaporate at room temperature. If the chamber is not sealed and the etchant evaporates, the concentration changes during the etching process, which affects the etching rate and can change the selectivity of the process. [12,14]

As most of the wet etching processes are isotropic, the etching can cause some undercutting. In undercutting the etchant etches under the etching mask, which leads to the lines under the mask to be thinner. This can be seen in Fig. 2.3. If the structure under the mask is narrow, it can be undercut completely, hence destroying the structure underneath the mask. Even though wet etching is generally isotropic, anisotropicity is achievable in some cases. It is achieved when the etching rate of the single-crystal structures is anisotropic. [12,14]

Figure 2.3: A schematic of undercutting in isotropic etching.

Reactive ion etching, on the other hand, works by combining this chemical etch- ing with a physical ion sputtering method. This causes the process to be anisotropic.

The degree of anisotropicity in dry etching is controlled with plasma conditions in the chamber. With dry etching, one can etch faster vertically than horizontally, which is important for binary-like grating structures. This also allows one to achieve a better aspect ratio for the etching than with wet etching methods. There are many differ- ent dry etching methods, for example, reactive ion etching, plasma etching, sputter etching, deep reactive ion etching, and reactive ion beam etching. We are mostly interested in the reactive ion etching as it is the method used in this work. [12,13]

2.2.2 Etching silicon

Silicon wafers can be etched with both wet and dry etching methods. Gases that etch silicon are fluorine, chlorine, and bromine. Of these, fluorine gases are generally safer for operators to use compared to others. However, fluorine processes are not fully

(20)

anisotropic, unlike the processes with the other two gases. Chlorine and bromine can etch more anisotropically, as these processes have less spontaneous chemical etching. However, these gases are highly toxic, and thus, they are to be used only in a device equipped with a load lock. The load lock has some advantages as it makes the process more repeatable, as the chamber is isolated from the outside. [14]

There are two main methods of wet etching silicon. Isotropic wet etching of silicon is achieved by using a combination of hydrofluoric acid (HF), nitric acid (HNO3) and acetic acid (CH3COOH). This is a more problematic way to etch silicon as nitric acid tends to become nitrous acid (HNO2) during the process. Nitrous acid then reacts with nitric acid to produce more nitrous acid. This means that the ratio of the etchants is changing drastically during the process. This process is also highly exothermic which increases the etching time rapidly if not stirred. The etchants used in this process are highly dangerous for operators. Therefore, extreme precautions are needed to handle this type of process. To anisotropically wet etch silicon, potassium hydroxide (KOH) is used. It works by having different etch rates for each crystal face. However, this anisotropicity can be achieved only with single crystal silicon. [12,14]

2.2.3 Reactive Ion Etching

Reactive ion etching (RIE) is a common method to transfer patterns to the substrate [11,13]. It is possible to transfer practically any shape and size of features, unlike with wet etching [11,14]. The working principle of RIE involves creating plasma in a low-pressure chamber from reactive gas molecules. The technique combines physical and chemical etching processes, which makes it possible to choose how anisotropic the etching process is. RIE also has good selectivity when compared to other dry etching methods and, therefore, is used when the undercutting becomes significant with wet etching [11,12,14]. A usual RIE chamber consists of an RF Power source (that works as a plasma source), two electrodes inside the chamber, intake port for etchants and additive gases, and port for vacuum pumps. A simplified schematic of a basic RIE system is presented in Fig. 2.4. [11–14]

The RF source creates an RF field that ionizes the gases inside the chamber, thus releasing electrons into the chamber. The altering RF field moves these electrons up and down in the chamber. Electrons near the bottom electrode start to collect onto the electrode surface, hence creating a negative charge onto the electrode. This

(21)

is called the self-biasing. These electrons start to attract the ions in the RF field, accelerating them towards the wafer inside the chamber. The more electrons there are on the bottom electrode, the higher the kinetic energy the attracted ions have on collisions. [12–14]

The etchant gas or gases are pumped close or through the top electrode and the sample is set on the bottom electrode. The most used gases in RIE etching are Chlorine (Cl), Fluorine (F), Iodine (I), and Bromine (Br) in conjunction with Oxygen (O2)and halogen gases [12–14].

Electrode Wafer

Electrode

Gasline in Gasline out

Figure 2.4: A basic schematic of a RIE chamber structure.

The RIE process can etch a surface by ion sputtering, reactive etching, radical formation, and radical etching. Ion sputtering is a physical process where ions hit the surface of the wafer and some surface material is released. Ion sputtering is often used to clean the surface from native oxide layers and hydrocarbon residues.

Reactive etching works by reacting with the surface atoms and forms new molecules.

The goal is that these new compounds are volatile and leave the substrate surface after formation. The radical formation can be seen on top of the substrate. Radicals are formed when the ions dissociate the gas molecules at the substrate surface. These radicals can move on the surface and react with other surface atoms forming, again, some volatile compounds and leaving the substrate surface like in the case of the reactive etching process. For example, when using carbon tetrafluoride to etch silicon, the CF4 molecules are highly reactive, thus being responsible for reactive etching during the RIE process. Meanwhile, CF+3 ions that are created during the process, are accelerated via the RF field towards the wafer in an ion sputtering

(22)

Table 2.1

The average bond energies of silicon in kJ/mol [28]

Bond type Bond energy

Si-Si 310

Si-F 576.4

Si-Cl 416.7

Si-Br 358.2

Si-O 470

process. [13,14]

The plasma in the RIE process is responsible for producing the different com- pounds and ions inside the chamber. The chamber is filled with some assortment of gases, for example, sulfur hexafluoride and oxygen gas. The plasma can ionize, excite, or dissociate these gases. In ionization, the RF field excites the free electrons in the gas. These electrons collide with atoms in the said gas-producing more free electrons and positive ions inside the chamber. These ions are then accelerated onto the wafer surface via the self-biasing created by the RF field. In conjunction with the ions, some reactive molecules are excited by the RF field. [13,14]

Dissociation, on the other hand, is when a molecule, in this case, the sulfur hexafluoride, releases one of the fluorine atoms, which produces a free fluoride and sulfur pentafluoride. This is important as the free fluoride atoms form the volatile compounds with the etched material to release it from the etched area. These compounds and ions are responsible for the etching process as they are responsible for breaking the chemical bonds in the wafer surface. If we take silicon as an example, the etching reactions need to lead to bonds that are stronger than silicon to silicon bonds. As we can see from Table2.1, the halogen-silicon bonds are all stronger than silicon-silicon bonds, therefore, these gases can etch silicon. From this table, we can also see that silicon-fluoride is only bond strong enough to etch oxidized silicon among the presented bonds. [13,14].

The process in RIE can be single step etching or multistep etching. In single-step one, the process etches the sample for a set amount of time. This is usually the case when there is just a single resist layer straight on top of the substrate layer. If there are more sensitive patterns to etch, a multi-step etching process can be utilized.

(23)

In the multi-step etching process, one can design the whole process around many obstacles that might occur during a single-step process. For example, when aiming for a high anisotropy, one usually needs to use passivation steps to stop free fluoride ions reacting with the silicon sidewalls of the structure, which causes lateral etching.

This means, that one needs to use a multi-step process which alternates between an etching step and a passivation step. In the passivation step, C4F8 is introduced onto the wafer. It will then react with the fluoride ions creating a passivation layer after which the etching step is started. This is called a “Bosch” process. One can achieve a high aspect ratio with this process. However, the Bosch process causes so-called

‘scalloping’ to the sidewalls of the structure. In scalloping, the etchant keeps eating the material, which leads to more isotropic shape. When the process is continued, it produces a continuous row of these isotropic shapes under each other. [13,14]

2.2.4 Deep Reactive Ion Etching

There are three main limitations to the RIE process. These are low aspect ratio, low etch rate, and poor selectivity. For example, a high aspect ratio is needed in microelectromechanical system (MEMS) fabrication, where the aspect ratio can be up to 40:1 [14]. These limitations can be overcome by using Deep Reactive Ion Etching (DRIE) process. [13,14]

In DRIE, one would enhance the plasma process of RIE by, for example, intro- ducing an inductively coupled plasma (ICP) source into a regular RIE system. In the ICP system, the plasma is generated outside the etching chamber and then coupled by an induction coil into the chamber. The effect of this plasma is enhancing the normal plasma production of the RIE process. The inductive coupling coil creates an electromagnetic field that can sustain electron cycling movement for extended period(s). This increases the ionization probability of the plasma without requiring the increase of pressure or RF power in the system. With ICP, the ion density and ion energy can be changed independently during the DRIE process. [13]

The ICP process increases the etch rate drastically compared to the RIE process.

In the RIE process for silicon, one can expect around 0.1-1 µm/min etch rate, whereas, in the DRIE process one can achieve up to 2-20 µm/min etching rates [14]. This is possible due to the high plasma density achieved by the ICP system.

Compared to the RIE process the plasma density can be from one to three orders of magnitude higher in the DRIE process. This solves the problem in the RIE process,

(24)

where one would need to make a compromise between high selectivity and high plasma density. [13]

There are some other inherent problems with the DRIE process. When trying to etch a deep structure into a silicon substrate, silicon grass is formed on the bottom of the structure. The silicon grass consists of thin silicon pillars, which are sometimes called black silicon. This is generally a product of micromasking caused by natural oxides or other contamination formed on top of the silicon or resist layers before etching. Fortunately, this can be prevented by proper precleaning in most cases.

Removing oxides from silicon surface is trivial, but a rather tedious task to perform.

If the source of contamination is the redeposition of the mask layer during the etching process, one might need to lower the ion energies in the process to combat the problem. [12]

2.3 Etching parameters

There are multiple parameters that one can control during the RIE process. The process itself is complicated and there are many ways to affect the etching results, for example, selectivity, directionality, and etching rate to name but a few. The RIE process development is generally an experimental process, where one will adjust pa- rameters until the desired outcome is reached. In most RIE applications one would change the following parameters: flow rate of reactive gas, radio frequency (RF) power, ICP power, chamber pressure, substrate temperature, and what gases are used in the process. [11–13,15] Modifying these parameters, are used for optimiza- tion for RIE processes. In some processes, one would also modify the ICP power parameter. [29,30]

2.3.1 Gas flow rate

The flow rate of the reactive gas or gases is one of the more important parameters as it highly affects the etching speed, along with RF power. Flow rate describes the amount of reactive gas per minute introduced into the chamber, usually measured in standard cubic centimeters per minute (sccm). The higher the flow, the more reactive atoms will be in the chamber, and with suitable chamber pressure, on the sample surface. In other words, there is a direct correlation between etching speed and the gas flow rate. Too high flow rate, however, can lead to short dwelling time

(25)

in the chamber, when the pressure is constant. It should be noted, that we need to adjust the RF power along with an increased flow rate. Otherwise, the generation of ions is decreased, which reduces the etching rate. If the flow rate is too low, the supply of radicals on the surface decreases, which also slows the etching rate. [13]

2.3.2 RF Power

Adjusting the RF power along with the flow rate directly alters the etching rate and selectivity of the etching process. Increasing RF power increases the probability of ionization, which usually leads to an increased etching rate. Increased RF power also makes the process more directional, hence it can increase selectivity when used in moderation. When RF power is increased, the amount of ion sputtering in the process increases, thus etching the resist with an increased rate. If the RF power is too low, the plasma might not ignite properly, hence affecting the plasma stability.

[13]

Increasing the RF power increases the self-biasing in the system. This self- biasing field increases the directionality of the process, as it increases the amount of ion sputtering in the process, thus increasing the etching rate. However, too much ion sputtering has its downsides. It can eat away the mask layer, especially if there is just a polymer mask. [13]

2.3.3 Chamber pressure

Chamber pressure in RIE is usually around 10−3–10−1 Torr and it mainly affects the mean free path of the particles inside. If the chamber pressure is low, it improves the anisotropicity of the etching process as the particles do not collide with each other as much in the chamber. Lower chamber pressure, on the other hand, increases the etching rate by moving volatile gases from the substrate surface faster as the pumping speed is higher to keep the higher vacuum state. However, too low chamber pressure can reduce plasma stability or prevent plasma from igniting. In higher chamber pressures the etching becomes more isotropic as the mean free path of ions is reduced. [12,13]

2.3.4 Temperature

As the sample is under a continuous bombardment of ions for extended periods, the surface temperature will increase. This might affect the anisotropicity of the RIE

(26)

etching as the temperature change will affect the etching rate of the process. This can be controlled by cooling the substrate. For example, cooling can be achieved by having helium gas circulating under the electrode, on which the sample is laying. [13]

Depending on the duration of the etching process, the surface temperature of the substrate can reach 100-200 degrees centigrade. This kind of temperature can start to soften the polymer resist layer on top of the substrate. This is problematic, as when the resist starts to soften, it generally distorts the mask or increases the etching rate of the mask. Both effects can be detrimental to the end product. For- tunately, this can be overcome by using other inorganic materials in which melting temperature is significantly higher than the surface temperature, or by cooling the substrate. [13]

2.3.5 Gas composition

Gas composition plays a major role in the etching process when there are multiple gases used. The ratio of different gases in the etching process affects the etching rate of the mask and the substrate. This technically determines the selectivity of the process. In the analogous structures, this ratio of gases also affects the shape of the structure as this depends on the selectivity and isotropicity of the etching. In the RIE system, the gas composition is controlled with the gas flow rate. Therefore, the gas flow rate and the ratio of different gases are the most important parameters during this work. [12,13]

Many different gases can be used to etch inorganic and metallic surfaces. When etching silicon, one can use compounds that include fluorine, chlorine, or bromine.

These radicals can be introduced with many different compounds, for example, using fluorine in carbon tetrafluoride form (CF4) or in sulfur hexafluoride form (SF6) to etch single crystal silicon. If one would want to use chlorine to etch silicon, boron trichloride (BCl3) can be used. [12,13]

Although these gases can be used by themselves to etch materials, one will gener- ally combine them with oxygen to enhance desired etching properties. For example, adding oxygen with CF4 reduces the recombination of radical gases, which in term increases the etching rate of silicon. Argon gas, on the other hand, can be used to stabilize the plasma process by introducing more electrons to the plasma. Argon also ionizes to Ar+ ions which participate in the ion sputtering during the process.

Argon is used, for example, when etching with SF6. SF6 is an electronegative gas,

(27)

so it consumes free electrons from the plasma during the process as it ionizes. Too much argon in the process can lead to unwanted amounts of sputtering and dilution of the gas composition, which can inhibit the chemical etching of the process. [12,13]

(28)

Chapter III

Process overview

In this chapter, the processes used in the practical part of this thesis work are dis- cussed. Generally, the process would start by designing a pattern for the desired purpose. This pattern is then produced via one of the aforementioned patterning methods onto a wafer. Then the process generally proceeds to the pattern trans- ferring, where one would etch the pattern from the resist layer onto the substrate.

However, in this work, the samples were already patterned. Hence, this work is focused on optimizing the etching process and the characterization of the etching product. The samples used in this work had a single blazed–style grating structure.

In this chapter, we will describe the handling of the samples during the whole pro- cess. Then we will discuss how the RIE process was conducted during this work.

Finally, we will discuss the characterization method used in this work.

3.1 Sample processing

The samples used in this work were provided by a third party on a full silicon wafer. There were 20-30 samples per wafer and, therefore, it was necessary to cleave these samples out of the full wafer before the etching process. This was done by using a diamond pen. A row of gratings was cleaved from the wafer. Next, the individual grating areas were cut from this shard of silicon similarly. Gratings were then blown with a generous amount of nitrogen gas to remove silicon dust created by the cleaving process from the sample surface. The individual grating samples were then put inside clean boxes to prevent dust and other particles contaminating the sample surface before the etching and characterization processes. The samples were kept in these sample holders at all times and only taken out when they were

(29)

to be placed inside some equipment. Before the samples were put into the etching chamber, they were cleaned with nitrogen gas once more. The same procedure was done before SEM imaging. One sample from each wafer was characterized with SEM before etching to compare the resist structure to the etched results and the different wafers with each other, e.g. to measure the resist pattern height.

3.2 RIE process

In this work, the RIE process was conducted with an Oxford Instruments Plasmalab 80 system. It has an ICP65 unit attached to it for deep reactive ion etching (DRIE) processes. However, this feature was not used during this work. Several different processing gases are available in said machine, namely SF6, CHF3, C4F8, CF4, N2, Ar, and O2. Of these, the SF6 and O2 were used for the etching process in this work and, argon gas was used to flush the chamber after the actual etching was finished.

The system is held in a vacuum when it is not in use. Therefore, it needs to be vented before placing the sample into the chamber. This was done from the PC software. This takes a few minutes as it purges the chamber with nitrogen gas before it is vented. After the system is vented, one can manually open the system via switch and two hardware buttons on each side of the machine as seen in Fig.

3.1. First, one needs to put the switch on to the ‘Hoist UP’ setting and then press both hoist buttons simultaneously to lift the top of the chamber.

After the chamber top is lifted, one can place the sample inside the chamber.

The sample was put on top of a full silicon wafer which is laying on the bottom electrode. The sample was secured with a piece of Kapton tape, which enhances the thermal conductivity between the sample and the silicon wafer under it. This is important for the repeatability of the process. To close the chamber, one would put the switch to the ‘Hoist down’ setting and then again hold the two hoist buttons simultaneously pressed. The top of the chamber is lowered to seal the gap between the top and bottom of the chamber. Then, from the attached PC one will start the pumping phase. Then the system asks a name for the sample before it starts evacuating the chamber.

After this is done, one can change the recipe via the PC software. Here one can choose, create, and modify RIE recipes. The process usually goes that one presses the ‘Load’ button and chooses one of the ready recipes from the list of recipes.

(30)

Hoist buttons Hoist direction selecter

Emergency switch

Figure 3.1: Plasmalab 80 plus system used in this work. The ICP65 unit is on top of the Plasmalab 80

When a recipe from the list is selected, one presses the ‘OK’ button to confirm the chosen recipe. If the parameters of the chosen recipe are correct, one can now just proceed by pressing the ‘Run’ button and the etching process starts when the chamber evacuating process is ready. However, if one wants to change the etching parameters, one would press one of the sub-recipes, which prompts a drop-down menu. Here one can choose ‘Edit step’ from which a new tab opens up. In this window, one can modify etching parameters, for example, RF power, pressure, gas flow rate to name but a few. After one has changed the etching parameters in this window, one will confirm it by pressing the ‘OK’ button and then start the process by pressing the ‘Run’ button.

The modification of the etching parameters was limited as the etched structures

(31)

are relatively small. Therefore, in this work, we focused more on the gas composition and flow rate, RF power, and etching time. We decided not to include helium cooling in this work to reduce variables unless needed. Chamber pressure was also left out due to being already relatively low. We decided to use sulfur hexafluoride (SF6) as the etchant since it works well with a silicon RIE process. Oxygen was added as an additive to control the etching rate of the polymer mask. The polymer mask was intended to be etched away from the structure surface so the final structure consists only of silicon. After etching, the chamber was also flushed with argon gas to clean the chamber of etchants and other trace chemicals.

At first, we did not use any preparation procedures for the chamber. After the first few samples, preparation was incorporated into the process since there are other users for the equipment. We used the ‘Chamber cleaning’ recipe for 15 minutes before the first sample to reduce the cross-contamination of other etchants and to heat the etching chamber to have fewer variables during the work. This recipe consists of oxygen plasma, which thoroughly cleans the chamber from residues and trace gases before placing the sample inside the chamber. This also increases the temperature of the chamber beforehand, which reduces the variability due to heat.

3.3 Characterization

In this work, we used a scanning electron microscope (SEM) to characterize the etched samples. Each sample was cleaved across the grating line before placing them inside the SEM to see the cross-section of the grating. The samples were cut by first scratching the silicon under the grating area, approximately in the middle of the grating with a diamond pen. Then the sample was twisted over the scratch to snap the silicon sample into two parts. One of these halves was chosen and then blown with nitrogen gas before placing it into the sample holder. The area, where the grating is on the cross-section, was shown by creating two marks with a marker pen to make it easier to find the grating inside the SEM.

3.3.1 Scanning Electron Microscope

SEM is an electron microscope that produces the picture by bombarding the sam- ple surface with high energy electrons to excite the surface atoms. These atoms emit secondary electrons, which are collected by a detector to produce an image of

(32)

the surface. This is done by comparing the location and intensity of the secondary electrons. The working principle of SEM is similar to the EBL, the electrons are accelerated towards the sample and controlled by electromagnetic lenses. The dif- ference is mainly on the acceleration voltage used, as it is much lower in the case of SEM. The basic layout of an SEM system can be seen in Fig. 3.2. [14,31]

Electron source

Anode

Condenser lens

Scan coils

Objective lens

Sample Sample stage

Detector

Figure 3.2: A basic schematic of an SEM system.

The SEM device used during this work was SEM Leo 1550 Gemini. As venting the system takes a considerable amount of time, the venting process was started while the samples were placed onto a cross-section sample holder. The holder we used can hold two samples simultaneously to image the cross-sections of the samples.

After the samples were on the holder, nitrogen gas was blown over the samples to reduce the number of particles on the samples and the holder. After the venting process, the chamber door was opened. The holder was slid to this attachment until the holder is secure inside the system. Then the chamber door was shut and the

‘Exchange’ button pressed again to start the evacuation process.

While the system was evacuating, the sample was moved near the electron gun

(33)

with the controls of the system. This was to mitigate the downtime due to the time the system takes to evacuate. The acceleration voltage was then started by pressing the ‘EHT’ button and selecting ‘EHT On’. Now, the system camera was from the CCD sensor used for roughly positioning the sample under the detector, to ‘Inlens’

which is the secondary electron detector [31].

Brightness and contrast were changed to have a clear image. This was done by setting brightness to 50 % and then tuning the contrast until the image was clear. Also, the gun alignment and aperture alignment were checked. If these had reasonable values, the scanning speed was set to the fastest, and then the stage was moved to find the samples in the SEM image. When the sample was found, the marks that were made earlier were searched from the image, while changing the focus and magnification with the controls of the system when moving between different areas of the sample.

When the grating was found, we tried to focus on it. However, in most cases, due to stigmation, a clear picture could not be found at first. When this occurred, we proceeded to find a particle or other imperfection on the cross-section surface.

Most of the time it was not hard to find these. Next, we proceeded to zoom into the particle and lower the scanning speed while using a reduced aperture around it.

Then we iterated between the focus, stigmation X, and stigmation Y and tried to decrease the stigmatization until the particle was in full focus. If this particle was in the same magnitude as the grating, the scan area was moved to the grating area and only minor adjustments are needed to get a clear image. Otherwise, one might need to do these iteration cycles a couple of times.

For the taken images, the magnification was set to 200 000x and when the image was focused, the scan speed was lowered and the image was frozen. The magnifi- cation was held the same for all of the measurements. From the frozen image the periodicity and the height of the structure were measured. Also, in the cases where there were still some resist on top of the silicon, the height was measured both to the top of the silicon structure and the top of the whole structure with the resist.

This was done to make selectivity measurements possible.

(34)

Chapter IV

Results

In this chapter, the results of this work are displayed and their implications discussed.

As this work discusses the etching process of an analogous structure, namely a blazed grating, the process needs not only to etch deep enough to the substrate but also to preserve the shape of the structure as well as possible.

The performance of the etching process needs to be evaluated by some means.

Generally, these parameters are etching rate, selectivity, uniformity, surface quality, reproducibility, residue, microloading effects, and so forth [12]. In other words, there is a myriad of ways to describe the performance of an etching process. For this work, we are mainly interested in the selectivity and the etching rate of the process.

We also would like to see, if this process is reproducible. We were interested in surface quality and uniformity, as these are also important for the process. Pattern preservation is an important parameter in the case of analogous structures, as the pattern should not deform during the etching process. In the case of selectivity, the problem mostly is that it is difficult to compare two different analogous structures with just the selectivity parameter. Therefore, it makes the selectivity a complicated parameter to use in our case and makes it a sufficient comparison method only when the shape is similar between the samples. Thus, the process should be characterized in two parts. Firstly, one should see that the shape of the structure is sufficiently preserved. Then, the rest of the parameters should be at least comparable to each other.

The process started with an initial guess, and then proceeded with coarse adjust- ment of parameters and then comparing the results. The initial parameters were:

a gas flow of SF6 and O2 were 25 and 10 standard cubic centimeters per minute

(35)

(sccm) respectively, chamber pressure of 10 mTorr, RF power was set to 200 W and the sample was etched for one minute.

4.1 Gas composition

The gas composition test was the first one to be done in this study. The gas compo- sition was noticed to have the most significant effect on the shape of the structure.

In this process, there is SF6 and O2 gases in the chamber simultaneously. These gases etch different materials during the process. Oxygen ions do not etch silicon but do etch the resist layer on top of it, while SF6 etches the silicon. We decided to test around the initial guess, first etching with a higher percentage of SF6 gas than on the initial guess and then with a higher percentage of O2. The parameters used can be seen in Table 4.1.

Table 4.1

Parameters of SF6and O2 for each gas composition test.

Sample A Sample B Sample C

SF6 (sccm) 25 30 20

O2 (sccm) 10 5 15

When we compare the results of these gas composition tests, we can see from Fig.

4.1 that there is a vast difference in shape when the gas composition is altered. In Fig.4.1(a), from now on called sample A, one can see that the shape of the structure is far from ideal. The shape is somewhere in between of binary and blazed gratings.

There is still some resist left on top of the silicon. The thickness of the resist on top of the structure is 34 nm in this case. This transition from silicon to resist can be seen when the edges of the shape suddenly have an incision. As there is some resist on top of the structure, we should increase the percentage of oxygen in the process to increase the etching rate of resist.

In the case of Fig. 4.1 (b), sample B, the etching process is too directional and, therefore, cannot keep the blazed shape. The shape of the structure resembles a bi- nary structure with triangular tops. There is also some resist on top of the structure, which can be seen as darker triangles on top of the structure. The thickness of the resist on top of this structure is 68 nm. The thickness of the resist layer increased

(36)

from the earlier structure as the amount of oxygen in the process were lowered. As the percentages of SF6 is higher, the anisotropicity of the process is increased.

(a) (b)

(c)

Figure 4.1: The results of gas composition modification tests. Figure (a) is from the Sample A, figure (b) is Sample B and figure (c) is the Sample C from the Table4.1.

In the case of Fig. 4.1 (c), sample C, we can see that the resist is practically etched away from the structure surface. The shape of the structure is preserved in this process, as it starts to have a triangular shape. This shape is, nonetheless still not good enough and the recipe needs to be modified further. However, the structure is so close, that altering the gas composition here could cause too large shifts in the shape of the structure. Therefore, this gas composition was chosen for further modification of the recipe, as the other parameters would not alter the shape as significantly as the gas composition. This gas composition also gets rid of almost

(37)

all of the resist on top of the structure with the etching time of one minute. There are still some resist left on top of the structure. This also needs to be etched away to finish up the process.

4.2 The effects of RF Power

After the initial gas composition was modified and chosen for the recipe, we pro- ceeded to change the RF power parameter to see its effects on the shape of the grating. As the initial parameter for RF power was 200 W, we decided to first try which direction we should tune the RF power to get better results.

We chose two values of 220 W and 180 W around the initial parameter value and repeated the etching process. Sample, where the RF power was set to 200 W can be seen in Fig. 4.2 (b), sample E. increasing the RF power, as stated earlier in Chapter II, increases the directionality of the etching process. This can be seen as the structure shape being similar to the sample C, but looking more binary-like structure. Therefore, increasing the RF power parameter does not get better results when compared to the initial RF power of 200 W

In Fig. 4.2 (a), sample D, the RF power was set to 180 W, and we can see a distinct blazed grating structure forming. However, the pattern is not perfect, as there is some roundness still in the shape. Also, the slope of the blazed structure should be connected to the next structure wall. In this case, there is a distinct

‘valley’ between each structure. This means that the process is still too anisotropic, hence the lowering of the RF power further could induce better results.

Next, the tuning of the RF power parameter was continued. We chose two new values around the 180 W which gave us the best result from the earlier batch of samples. The values chosen were 160 W and 190 W. As we can see from Fig. 4.3 (a) (sample F) where the RF power was set to 160 W, the structure is starting to look like a blazed structure. There are still some imperfections, as the vertical edge of the structure could be more straight, and the slope should connect to it from the previous structure. Also, there is practically no resist left on top of the structure, hence the structure being etched fully to the substrate layer. On the other hand, sample G, where the RF power was 190 W is not as good as sample D or sample F. Now we have one decent blazed-like structure, but we continued to tune the RF parameter further to see how it alters the structure.

(38)

( a ) ( b )

Figure 4.2: The results of the first RF power test. RF power value were for (a) and (b) 180 W and 220 W respectively. These are called sample D and E respectively.

(a) (b)

Figure 4.3: The results of the second RF power test. RF power values were 160 W and 190 W in (a) and (b) respectively. These are called sample F and G respectively.

We decided to try to fine-tune the RF power parameter again around 160 W in a similar manner as earlier. The RF power values 170 W and 150 W was chosen for the next test. In the case of 170 W, as seen in Fig. 4.4 (a), sample H, the structure is becoming more anisotropic again. There seems to be some resist left after this run, as can be seen on the top of the edge structures. This implies that there was

(39)

a different thickness of resist material on top of this and the previous sample. This probably is due to these samples being from different wafers. There might also be some particles on top of the resist layer which could slow down the etch rate for the resist layer.

The sample with 150 W of RF power, as seen in Fig. 4.4(b), sample I, had similar effects as sample H. Therefore one could argue that the resist layer is probably thicker than in the previous wafer. The shape of the structure is good, but not as good as in the case of sample F. The shape is more rounded, especially at the bottom of the structure, which implies more isotropicity in the process. We can conclude that the best value of RF power is 160 W.

(a) (b)

Figure 4.4: The results of the second RF power test. RF power values were 170 W and 150 W in (a) and (b) respectively. These are called sample H and I respectively.

4.3 Repeatability

As stated earlier, repeatability is an important factor when designing an etching process. The reason for this is that typically more than one good sample is needed.

Therefore, trying to minimize variability during the different steps is crucial to the whole process, especially in the pattern transfer phase. A well repeatable process can reliably produce the same, or at least close to the same end product every time.

Viittaukset

LIITTYVÄT TIEDOSTOT

Following the transfer procedure, the graphene sheet now covers the small grid in the centre of the sample but a layer of PMMA resist remains on top of the sheet.. This resist

5.1 DEPOLARIZATION BASED ON RESONANCE GRATINGS Sub-wavelength linear gratings, especially in resonance domain, have significant difference in the effective refractive index of the

− valmistuksenohjaukseen tarvittavaa tietoa saadaan kumppanilta oikeaan aikaan ja tieto on hyödynnettävissä olevaa & päähankkija ja alihankkija kehittävät toimin-

Vuonna 1996 oli ONTIKAan kirjautunut Jyväskylässä sekä Jyväskylän maalaiskunnassa yhteensä 40 rakennuspaloa, joihin oli osallistunut 151 palo- ja pelastustoimen operatii-

The calculated TE-polarized spectra showed a linear shift in resonance peak wavelength with the increase in analyte layer thickness from 5 to 40 nm while TM spectra followed

Työn merkityksellisyyden rakentamista ohjaa moraalinen kehys; se auttaa ihmistä valitsemaan asioita, joihin hän sitoutuu. Yksilön moraaliseen kehyk- seen voi kytkeytyä

Since both the beams have the same stiffness values, the deflection of HSS beam at room temperature is twice as that of mild steel beam (Figure 11).. With the rise of steel

The quark layer is acidic because of the lactic acid contained in the quark and because of the acidic lemon juice that was added to this layer – in the acidic layer we see the